All Projects → The-OpenROAD-Project → OpenLane

The-OpenROAD-Project / OpenLane

Licence: Apache-2.0 License
OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen, Fault and custom methodology scripts for design exploration and optimization.

Programming Languages

Verilog
626 projects
python
139335 projects - #7 most used programming language
tcl
693 projects
Dockerfile
14818 projects
shell
77523 projects
Makefile
30231 projects

Projects that are alternatives of or similar to OpenLane

caravel mpw-one
Caravel is a standard SoC hardness with on chip resources to control and read/write operations from a user-dedicated space.
Stars: ✭ 130 (-76.28%)
Mutual labels:  magic, yosys, caravel, openroad, openram
Skywater Pdk
Open source process design kit for usage with SkyWater Technology Foundry's 130nm node.
Stars: ✭ 1,765 (+222.08%)
Mutual labels:  magic, asic, openroad, openram, skywater
Openlane
OpenLANE is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen, Fault and custom methodology scripts for design exploration and optimization.
Stars: ✭ 293 (-46.53%)
Mutual labels:  magic, asic, rtl, verilog
Rggen
Code generation tool for configuration and status registers
Stars: ✭ 54 (-90.15%)
Mutual labels:  asic, rtl, verilog
padring
A padring generator for ASICs
Stars: ✭ 19 (-96.53%)
Mutual labels:  asic, vlsi, yosys
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-93.25%)
Mutual labels:  rtl, verilog, yosys
OpenROAD-flow-scripts
OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/
Stars: ✭ 124 (-77.37%)
Mutual labels:  rtl, verilog, openroad
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (-50.55%)
Mutual labels:  asic, rtl, verilog
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (-72.81%)
Mutual labels:  asic, rtl, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-96.9%)
Mutual labels:  rtl, verilog
vga-clock
Show the time on a VGA monitor. Submitted for the Google MPW1 ASIC shuttle.
Stars: ✭ 48 (-91.24%)
Mutual labels:  rtl, verilog
Atalanta
Atalanta is a modified ATPG (Automatic Test Pattern Generation) tool and fault simulator, orginally from VirginiaTech University.
Stars: ✭ 49 (-91.06%)
Mutual labels:  verilog, vlsi
PyChip-py-hcl
A Hardware Construct Language
Stars: ✭ 36 (-93.43%)
Mutual labels:  rtl, verilog
Axi
AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication
Stars: ✭ 227 (-58.58%)
Mutual labels:  asic, rtl
cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
Stars: ✭ 157 (-71.35%)
Mutual labels:  rtl, verilog
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (-89.78%)
Mutual labels:  rtl, verilog
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (-90.69%)
Mutual labels:  verilog, system-on-chip
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-93.43%)
Mutual labels:  rtl, verilog
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (-94.16%)
Mutual labels:  verilog, yosys
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (-62.04%)
Mutual labels:  asic, verilog

OpenLane

License Documentation Status CI Slack Invite Python code style: black

OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen, CVC, SPEF-Extractor, CU-GR, Klayout and a number of custom scripts for design exploration and optimization. The flow performs full ASIC implementation steps from RTL all the way down to GDSII.

You can find the latest release of OpenLane here.

This documentation is also available at ReadTheDocs.

Table of contents

Prerequisites

At a minimum:

  • GNU Make
  • Python 3.6+ with pip and virtualenv
  • Git 2.35+
  • Docker 19.03.12+

On Ubuntu, that's...

apt install -y build-essential python3 python3-venv python3-pip

On macOS, that's...

Get Homebrew, then:

brew install python make brew install --cask docker

Containerless/Local Installations

Please see here.

Setting Up OpenLane

You can set up the Sky130 PDK and OpenLane by running:

    git clone https://github.com/The-OpenROAD-Project/OpenLane.git
    cd OpenLane/
    make
    make test # This a ~5 minute test that verifies that the flow and the pdk were properly installed
  • The Makefile should do the following when you run the above:
    • Pulls the OpenLane Docker image.
    • Pulls and updates the PDK
    • Test the whole setup with a complete run on a small design, spm.

This should produce a clean run for the spm. The final layout will be generated at this path: ./designs/spm/runs/openlane_test/results/magic/spm.gds.

If everything is okay, you can skip forward to running OpenLane.

Updating OpenLane

If you already have the repo locally, then there is no need to re-clone it. You can run the following:

    cd OpenLane/
    git checkout master
    git pull
    make 
    make test # This is to test that the flow and the pdk were properly updated

Pulling or Building the OpenLane Docker Container

DISCLAIMER: This sub-section is to give you an understanding of what happens under the hood in the Makefile. You don't need to run the instructions here, if you already ran make pull-openlane.

For curious users: For more details about the docker container and its process, the following instructions walk you through the process of using docker containers to build the needed tools then integrate them into OpenLane flow. You Don't Need To Re-Build It.

Building the PDK Manually

You don't have to build the PDK yourself anymore. But, if you insist, or require SCLs that are not installed by default, you can try the follow

    <configuration variables: see notes below>
    make build-pdk-conda
  • The default pdk installation directory is $PWD/pdks. If you want to install the PDK at a different location, you'll need add this configuration variable:
    • export PDK_ROOT=<absolute path to where skywater-pdk and open_pdks will reside>
      • Be sure to add this to your shell's profile for future use.
  • The default SCL to be installed is sky130_fd_sc_hd.
    • To change that, you can add this configuration variable: export STD_CELL_LIBRARY=<Library name, i.e. sky130_fd_sc_ls>, where the library name is one of:
      • sky130_fd_sc_hd
      • sky130_fd_sc_hs
      • sky130_fd_sc_ms
      • sky130_fd_sc_ls
      • sky130_fd_sc_hdll
    • You can install all Sky130 SCLs by invoking FULL_PDK=1 make build-pdk-conda.
    • You can install the PDK manually, outside of the Makefile, by following the instructions provided here.
    • Refer to this for more details on OpenLane-compatible PDK structures.

Running OpenLane

You need to start the Docker container with proper paths mounted. There are two ways to do this.

The easiest way to mount the proper directories into the docker container would be to rely on the Makefile:

    make mount
  • Note:
    • Default PDK_ROOT is $(pwd)/pdks. If you have installed the PDK at a different location, run the following before make mount:
      export PDK_ROOT=<absolute path to where skywater-pdk, open_pdks, and sky130A reside>
    • Default OPENLANE_IMAGE_NAME is dynamically obtained using your current git version. If you want to use a specific image, run the following before make mount:
      export OPENLANE_IMAGE_NAME=<docker image name>

The following is roughly what happens under the hood when you run make mount + the required exports:

    export PDK_ROOT=<absolute path to where skywater-pdk and open_pdks will reside>
    export OPENLANE_IMAGE_NAME=<docker image name>
    docker run -it -v $(pwd):/openlane -v $PDK_ROOT:$PDK_ROOT -e PDK_ROOT=$PDK_ROOT -u $(id -u $USER):$(id -g $USER) $OPENLANE_IMAGE_NAME

Note: this will mount the OpenLane directory and the PDK_ROOT directory inside the container.

You can use the following example to check the overall setup:

./flow.tcl -design spm

To run OpenLane on multiple designs at the same time, check this section.

Having trouble running the flow? check FAQs

Command line arguments

The following are arguments that can be passed to flow.tcl

Argument Description
-design <folder path>
(Required)
Specifies the design folder. A design folder should contain a config.tcl defining the design parameters.
If the folder is not found, ./designs directory is searched
-from <stage>
(Optional)
Specifies stage to start flow execution from
-to <stage>
(Optional)
Specifies stage to stop flow execution at (included)
-config_file <file>
(Optional)
Specifies the design's configuration file for running the flow.
For example, to run the flow using /spm/config2.tcl
Use run ./flow.tcl -design /spm -config_file /spm/config2.tcl
By default config.tcl is used.
-override_env
Optional
Allows you to override certain configuration environment variables for this run. Format: KEY1=VALUE1,KEY2=VALUE2
-config_tag <name>
(Optional)
Specifies the design's configuration file for running the flow.
For example, to run the flow using designs/spm/config2.tcl
Use run ./flow.tcl -design spm -config_tag config2
By default config is used.
-tag <name>
(Optional)
Specifies a name for a specific run. If the tag is not specified, a timestamp is generated for identification of that run.
Can Specify the configuration file name in case of using -init_design_config
-run_path <path>
(Optional)
Specifies a path to save the run in. By default the run is in design_path/, where the design path is the one passed to -design
-src <verilog_source_file>
(Optional)
Sets the verilog source code file(s) in case of using -init\_design\_config.
The default is that the source code files are under design_path/src/, where the design path is the one passed to -design
-init_design_config
(Optional)
Creates a tcl configuration file for a design. -tag <name> can be added to rename the config file to <name>.tcl
-overwrite
(Optional)
Flag to overwirte an existing run with the same tag
-interactive
(Optional)
Flag to run openlane flow in interactive mode
-file <file_path>
(Optional)
Passes a script of interactive commands in interactive mode
-synth_explore
(Boolean)
If enabled, synthesis exploration will be run (only synthesis exploration), which will try out the available synthesis strategies against the input design. The output will be the four possible gate level netlists under <run_path/results/synthesis> and a summary report under reports that compares the 4 outputs.
-lvs
(Boolean)
If enabled, only LVS will be run on the design. in which case the user must also pass: -design DESIGN_DIR -gds DESIGN_GDS -net DESIGN_NETLIST.
-drc
(Boolean)
If enabled, only DRC will be run on the design. in which case the user must also pass: -design DESIGN_DIR -gds DESIGN_GDS -report OUTPUT_REPORT_PATH -magicrc MAGICRC.
-save
(Optional)
A flag to save a runs results like .mag and .lef in the design's folder.
-save_path <path>
(Optional)
Specifies a different path to save the design's result. This option is to be used with the -save flag.

Adding a design

To add a new design, follow the instructions provided here

This file also includes useful information about the design configuration files. It also includes useful utilities for exploring and updating design configurations for each (PDK,STD_CELL_LIBRARY) pair.

OpenLane Architecture

OpenLane Design Stages

OpenLane flow consists of several stages. By default all flow steps are run in sequence. Each stage may consist of multiple sub-stages. OpenLane can also be run interactively as shown here.

  1. Synthesis
    1. yosys - Performs RTL synthesis
    2. abc - Performs technology mapping
    3. OpenSTA - Performs static timing analysis on the resulting netlist to generate timing reports
  2. Floorplan and PDN
    1. init_fp - Defines the core area for the macro as well as the rows (used for placement) and the tracks (used for routing)
    2. ioplacer - Places the macro input and output ports
    3. pdn - Generates the power distribution network
    4. tapcell - Inserts welltap and decap cells in the floorplan
  3. Placement
    1. RePLace - Performs global placement
    2. Resizer - Performs optional optimizations on the design
    3. OpenDP - Perfroms detailed placement to legalize the globally placed components
  4. CTS
    1. TritonCTS - Synthesizes the clock distribution network (the clock tree)
  5. Routing
    1. FastRoute - Performs global routing to generate a guide file for the detailed router
    2. CU-GR - Another option for performing global routing.
    3. TritonRoute - Performs detailed routing
    4. SPEF-Extractor - Performs SPEF extraction
  6. GDSII Generation
    1. Magic - Streams out the final GDSII layout file from the routed def
    2. Klayout - Streams out the final GDSII layout file from the routed def as a back-up
  7. Checks
    1. Magic - Performs DRC Checks & Antenna Checks
    2. Klayout - Performs DRC Checks
    3. Netgen - Performs LVS Checks
    4. CVC - Performs Circuit Validity Checks

OpenLane integrated several key open source tools over the execution stages:

OpenLane Output

All output run data is placed by default under ./designs/design_name/runs. Each flow cycle will output a timestamp-marked folder containing the following file structure:

designs/<design_name>
├── config.tcl
├── runs
│   ├── <tag>
│   │   ├── config.tcl
│   │   ├── {logs, reports, tmp}
│   │   │   ├── cts
│   │   │   ├── signoff
│   │   │   ├── floorplan
│   │   │   ├── placement
│   │   │   ├── routing
│   │   │   └── synthesis
│   │   ├── results
│   │   │   ├── final
│   │   │   ├── cts
│   │   │   ├── signoff
│   │   │   ├── floorplan
│   │   │   ├── placement
│   │   │   ├── routing
│   │   │   └── synthesis

To delete all generated runs under all designs: make clean_runs

Flow configuration

  1. PDK / technology specific
  2. Flow specific
  3. Design specific
  • A PDK should define at least one standard cell library(SCL) for the PDK. A common configuration file for all SCLs is located in:

    $PDK_ROOT/$PDK/config.tcl
    
    • Sometimes the PDK comes with several standard cell libraries. Each has an own configuration file that defines extra variables specific to the SCL. It may also override variables in the common PDK configuration file which is located in:

      $PDK_ROOT/$PDK/$STD_CELL_LIBRARY/config.tcl
      
    • More on configuring a new PDK in this section

  • Flow specific variables are related to the flow and are initialized with default values in:

    ./configuration/
    
  • Finally, each design should have it's own configuration file with some required variables which are available in this list. A design configuration file may override any of the variables defined in PDK or flow configuration files. This is the global configurations for the design:

    ./designs/<design>/config.tcl
    
    • More on design configurations in here

A list of all available variables can be found here.

Regression And Design Configurations Exploration

As mentioned earlier, everytime a new design or a new (PDK,STD_CELL_LIBRARY) pair is added, or any update happens in the flow tools, a re-configuration for the designs is needed. The reconfiguration is methodical and so an exploration script was developed to aid the designer in reconfiguring his designs if needed. As explained here that each design has multiple configuration files for each (PDK,STD_CELL_LIBRARY) pair.

OpenLane provides run_designs.py, a script that can do multiple runs in a parallel using different configurations. A run consists of a set of designs and a configuration file that contains the configuration values. It is useful to explore the design implementation using different configurations to figure out the best one(s).

Also, it can be used for testing the flow by running the flow against several designs using their best configurations. For example the following run: spm using its default configuration files config.tcl. :

python3 run_designs.py --tag test --threads 3 spm xtea md5 aes256 

For more information on how to run this script, refer to this file

OpenLane also has flow for issue regression testing. Refer to this document.

For more information on design configurations, how to update them, and the need for an exploration for each design, refer to this file

Hardening Macros

This is discussed in more detail here.

Chip Integration

The first step of chip integration is hardening the macros. To learn more about this check this file.

Using OpenLane, you can produce a GDSII from a chip RTL. This is done by applying a certain methodology that we follow using our custom scripts and the integrated tools.

To learn more about Chip Integration. Check this file

Commands and Configurations

To get a full list of the OpenLane commands, first introduce yourself to the interactive mode of OpenLane here. Then check the full documentation of the OpenLane commands here.

The full documentation of OpenLane run configurations could be found here.

How To Contribute

We discuss the details of how to contribute to OpenLane in this documentation.

Authors

To check the original author list of OpenLane, check this.

Additional Material

Papers

  • Ahmed Ghazy and Mohamed Shalan, "OpenLANE: The Open-Source Digital ASIC Implementation Flow", Article No.21, Workshop on Open-Source EDA Technology (WOSET), 2020. Paper
  • M. Shalan and T. Edwards, "Building OpenLANE: A 130nm OpenROAD-based Tapeout- Proven Flow : Invited Paper," 2020 IEEE/ACM International Conference On Computer Aided Design (ICCAD), San Diego, CA, USA, 2020, pp. 1-6. Paper
  • R. Timothy Edwards, M. Shalan and M. Kassem, "Real Silicon using Open Source EDA," in IEEE Design & Test, doi: 10.1109/MDAT.2021.3050000. Paper

Videos and Tutorials

OpenLane Specific

Caravel & SkyWater PDK

Note that the project description data, including the texts, logos, images, and/or trademarks, for each open source project belongs to its rightful owner. If you wish to add or remove any projects, please contact us at [email protected].