All Projects → Ice40 Playground → Similar Projects or Alternatives

342 Open source projects that are alternatives of or similar to Ice40 Playground

Icegdrom
An FPGA based GDROM emulator for the Sega Dreamcast
Stars: ✭ 103 (-26.95%)
Mutual labels:  verilog
Vgasim
A Video display simulator
Stars: ✭ 94 (-33.33%)
Mutual labels:  verilog
Connectal
Connectal is a framework for software-driven hardware development.
Stars: ✭ 117 (-17.02%)
Mutual labels:  verilog
Dreamcasthdmi
Dreamcast HDMI
Stars: ✭ 106 (-24.82%)
Mutual labels:  verilog
Oldland Cpu
Oldland CPU - a 32-bit RISC FPGA CPU including RTL + tools
Stars: ✭ 90 (-36.17%)
Mutual labels:  verilog
Fpganes
NES in Verilog
Stars: ✭ 119 (-15.6%)
Mutual labels:  verilog
Spatial Lang
Spatial: "Specify Parameterized Accelerators Through Inordinately Abstract Language"
Stars: ✭ 99 (-29.79%)
Mutual labels:  verilog
Aes
Verilog implementation of the symmetric block cipher AES (Advanced Encryption Standard) as specified in NIST FIPS 197. This implementation supports 128 and 256 bit keys.
Stars: ✭ 131 (-7.09%)
Mutual labels:  verilog
Cores Swervolf
FuseSoC-based SoC for SweRV EH1
Stars: ✭ 92 (-34.75%)
Mutual labels:  verilog
Raven Picorv32
Silicon-validated SoC implementation of the PicoSoc/PicoRV32
Stars: ✭ 110 (-21.99%)
Mutual labels:  verilog
A2o
Stars: ✭ 107 (-24.11%)
Mutual labels:  verilog
Vsdflow
VSDFLOW is an automated solution to programmers, hobbyists and small scale semiconductor technology entrepreneurs who can craft their ideas in RTL language, and convert the design to hardware using VSD (RTL-to-GDS) FLOW. VSDFLOW is completely build using OPHW tools, where the user gives input RTL in verilog. From here on the VSDFLOW takes control, RTL is synthesized (using Yosys). The synthesized netlist is given to PNR tool (Qflow) and finally Sign-off is done with STA tool (using Opentimer). The output of the flow is GDSII layout and performance & area metrics of your design. VSDFLOW also provide hooks at all stages for users working at different levels of design flow. It is tested for 30k instance count design like ARM Cortex-M0, and can be further tested for multi-million instance count using hierarchical or glue logic.
Stars: ✭ 82 (-41.84%)
Mutual labels:  verilog
Open Register Design Tool
Tool to generate register RTL, models, and docs using SystemRDL or JSpec input
Stars: ✭ 126 (-10.64%)
Mutual labels:  verilog
Archexp
浙江大学计算机体系结构课程实验
Stars: ✭ 104 (-26.24%)
Mutual labels:  verilog
Tang e203 mini
LicheeTang 蜂鸟E203 Core
Stars: ✭ 135 (-4.26%)
Mutual labels:  verilog
Svlint
SystemVerilog linter
Stars: ✭ 103 (-26.95%)
Mutual labels:  verilog
Svls
SystemVerilog language server
Stars: ✭ 119 (-15.6%)
Mutual labels:  verilog
Nyuziprocessor
GPGPU microprocessor architecture
Stars: ✭ 1,351 (+858.16%)
Mutual labels:  verilog
Openfpgaduino
All open source file and project for OpenFPGAduino project
Stars: ✭ 137 (-2.84%)
Mutual labels:  verilog
Radioberry 2.x
Ham Radio hat for Raspberry PI
Stars: ✭ 92 (-34.75%)
Mutual labels:  verilog
Vm80a
i8080 precise replica in Verilog, based on reverse engineering of real die
Stars: ✭ 114 (-19.15%)
Mutual labels:  verilog
Lpc sniffer tpm
A low pin count sniffer for ICEStick - targeting TPM chips
Stars: ✭ 91 (-35.46%)
Mutual labels:  verilog
Fpga based cnn
FPGA based acceleration of Convolutional Neural Networks. The project is developed by Verilog for Altera DE5 Net platform.
Stars: ✭ 129 (-8.51%)
Mutual labels:  verilog
Wujian100 open
IC design and development should be faster,simpler and more reliable
Stars: ✭ 1,252 (+787.94%)
Mutual labels:  verilog
Livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Stars: ✭ 110 (-21.99%)
Mutual labels:  verilog
Replace
RePlAce global placement tool
Stars: ✭ 109 (-22.7%)
Mutual labels:  verilog
Ponylink
A single-wire bi-directional chip-to-chip interface for FPGAs
Stars: ✭ 80 (-43.26%)
Mutual labels:  verilog
Picorv32
PicoRV32 - A Size-Optimized RISC-V CPU
Stars: ✭ 1,856 (+1216.31%)
Mutual labels:  verilog
Hardware Cnn
A convolutional neural network implemented in hardware (verilog)
Stars: ✭ 107 (-24.11%)
Mutual labels:  verilog
Openofdm
Sythesizable, modular Verilog implementation of 802.11 OFDM decoder.
Stars: ✭ 135 (-4.26%)
Mutual labels:  verilog
Hdl checker
Repurposing existing HDL tools to help writing better code
Stars: ✭ 103 (-26.95%)
Mutual labels:  verilog
Displayport verilog
A Verilog implementation of DisplayPort protocol for FPGAs
Stars: ✭ 125 (-11.35%)
Mutual labels:  verilog
Fft Dit Fpga
Verilog module for calculation of FFT.
Stars: ✭ 104 (-26.24%)
Mutual labels:  verilog
Icesugar
iCESugar FPGA Board (base on iCE40UP5k)
Stars: ✭ 139 (-1.42%)
Mutual labels:  verilog
Awesome Open Hardware Verification
A List of Free and Open Source Hardware Verification Tools and Frameworks
Stars: ✭ 103 (-26.95%)
Mutual labels:  verilog
Vscode Verilog Hdl Support
Verilog HDL/SystemVerilog/Bluespec SystemVerilog support for VS Code
Stars: ✭ 120 (-14.89%)
Mutual labels:  verilog
Mriscv
A 32-bit Microcontroller featuring a RISC-V core
Stars: ✭ 101 (-28.37%)
Mutual labels:  verilog
Wbuart32
A simple, basic, formally verified UART controller
Stars: ✭ 133 (-5.67%)
Mutual labels:  verilog
Panologic G2
Pano Logic G2 Reverse Engineering Project
Stars: ✭ 99 (-29.79%)
Mutual labels:  verilog
Surelog
SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API.
Stars: ✭ 116 (-17.73%)
Mutual labels:  verilog
Kamikaze
Light-weight RISC-V RV32IMC microcontroller core.
Stars: ✭ 94 (-33.33%)
Mutual labels:  verilog
Simplevout
A Simple FPGA Core for Creating VGA/DVI/HDMI/OpenLDI Signals
Stars: ✭ 139 (-1.42%)
Mutual labels:  verilog
Mips32 Cpu
奋战一学期,造台计算机(编译出的bit文件在release中,可以直接食用)
Stars: ✭ 94 (-33.33%)
Mutual labels:  verilog
Vscale
Verilog version of Z-scale (deprecated)
Stars: ✭ 116 (-17.73%)
Mutual labels:  verilog
Icestation 32
Compact FPGA game console
Stars: ✭ 93 (-34.04%)
Mutual labels:  verilog
Fpga Peripherals
🌱 ❄️ Collection of open-source peripherals in Verilog
Stars: ✭ 130 (-7.8%)
Mutual labels:  verilog
Fpga Cnn
FPGA implementation of Cellular Neural Network (CNN)
Stars: ✭ 91 (-35.46%)
Mutual labels:  verilog
Orpsoc Cores
Core description files for FuseSoC
Stars: ✭ 112 (-20.57%)
Mutual labels:  verilog
Ivtest
Regression test suite for Icarus Verilog.
Stars: ✭ 90 (-36.17%)
Mutual labels:  verilog
E200 opensource
This repository hosts the project for open-source hummingbird E203 RISC processor Core.
Stars: ✭ 1,909 (+1253.9%)
Mutual labels:  verilog
Hoodlum
A nicer HDL.
Stars: ✭ 88 (-37.59%)
Mutual labels:  verilog
Sv Tests
Test suite designed to check compliance with the SystemVerilog standard.
Stars: ✭ 108 (-23.4%)
Mutual labels:  verilog
Xilinx Serial Miner
Bitcoin miner for Xilinx FPGAs
Stars: ✭ 83 (-41.13%)
Mutual labels:  verilog
Nandland
All code found on nandland is here. underconstruction.gif
Stars: ✭ 128 (-9.22%)
Mutual labels:  verilog
Autofpga
A utility for Composing FPGA designs from Peripherals
Stars: ✭ 108 (-23.4%)
Mutual labels:  verilog
Chisel3
Chisel 3: A Modern Hardware Design Language
Stars: ✭ 2,290 (+1524.11%)
Mutual labels:  verilog
Openwifi
open-source IEEE 802.11 WiFi baseband FPGA (chip) design
Stars: ✭ 2,257 (+1500.71%)
Mutual labels:  verilog
Symbiflow Arch Defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Stars: ✭ 137 (-2.84%)
Mutual labels:  verilog
Milkymist
SoC design for Milkymist One - LM32, DDR SDRAM, 2D TMU, PFPU
Stars: ✭ 127 (-9.93%)
Mutual labels:  verilog
Single instruction cycle openmips
通过学习《自己动手写CPU》,将书中实现的兼容MIPS32指令集架构的处理器——OpenMIPS(五级流水线结构),简化成单指令周期实现的处理器
Stars: ✭ 108 (-23.4%)
Mutual labels:  verilog
1-60 of 342 similar projects