All Projects → Scaffold → Similar Projects or Alternatives

143 Open source projects that are alternatives of or similar to Scaffold

Nvc
VHDL compiler and simulator
Stars: ✭ 347 (+706.98%)
Mutual labels:  vhdl
SmartVHDL
SublimeText Plugin for VHDL (highlight, autocompletion, navigation, ...)
Stars: ✭ 12 (-72.09%)
Mutual labels:  vhdl
I2s Interface Vhdl
A simplified i2s interface taken from OpenCores' I2S Interface. Aimed for Altera Avalon Streaming interface.
Stars: ✭ 6 (-86.05%)
Mutual labels:  vhdl
Parallella Examples
Community created parallella projects
Stars: ✭ 384 (+793.02%)
Mutual labels:  vhdl
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-65.12%)
Mutual labels:  vhdl
Audioxtreamer
ASIO driver, Usb Driver, FX2LP Firmware, VHDL Fpga, Schematics & PCB Layout for the AudioXtreamer, a USB 2.0 32ch Audio/Midi interface for retrofitting into digital mixers/interfaces.
Stars: ✭ 22 (-48.84%)
Mutual labels:  vhdl
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+527.91%)
Mutual labels:  vhdl
Vhdl Mips Pipeline Microprocessor
VHDL-Mips-Pipeline-Microprocessor
Stars: ✭ 12 (-72.09%)
Mutual labels:  vhdl
vcd
VCD file (Value Change Dump) command line viewer
Stars: ✭ 40 (-6.98%)
Mutual labels:  vhdl
Fpga webserver
A work-in-progress for what is to be a software-free web server for static content.
Stars: ✭ 762 (+1672.09%)
Mutual labels:  vhdl
Gcvideo
GameCube Digital AV converter
Stars: ✭ 385 (+795.35%)
Mutual labels:  vhdl
fphdl
VHDL-2008 Support Library
Stars: ✭ 36 (-16.28%)
Mutual labels:  vhdl
Openrio
Contains VHDL IP-blocks to create stand-alone RapidIO-endpoints, RapidIO-switches and RapidIO-switches with local endpoints.
Stars: ✭ 23 (-46.51%)
Mutual labels:  vhdl
Riscv vhdl
Portable RISC-V System-on-Chip implementation: RTL, debugger and simulators
Stars: ✭ 356 (+727.91%)
Mutual labels:  vhdl
Lxp32 Cpu
A lightweight, open source and FPGA-friendly 32-bit CPU core based on an original instruction set
Stars: ✭ 27 (-37.21%)
Mutual labels:  vhdl
Dsi Shield
Arduino MIPI DSI Shield
Stars: ✭ 330 (+667.44%)
Mutual labels:  vhdl
Zedboard audio
A Audio Interface for the Zedboard
Stars: ✭ 16 (-62.79%)
Mutual labels:  vhdl
Opl3 fpga
Reverse engineered SystemVerilog RTL version of the Yamaha OPL3 (YMF262) FM Synthesizer
Stars: ✭ 255 (+493.02%)
Mutual labels:  vhdl
Ophidian
Ophidian's Mirror Repository on github. https://gitlab.com/eclufsc/eda/ophidian
Stars: ✭ 32 (-25.58%)
Mutual labels:  vhdl
docker
Scripts to build and use docker images including GHDL
Stars: ✭ 27 (-37.21%)
Mutual labels:  vhdl
Sha 256 Hdl
An implementation of original SHA-256 hash function in (RTL) VHDL
Stars: ✭ 6 (-86.05%)
Mutual labels:  vhdl
CryptoHDL
A list of VHDL codes implementing cryptographic algorithms
Stars: ✭ 14 (-67.44%)
Mutual labels:  vhdl
Rewire
Experimental compiler for a subset of Haskell to VHDL
Stars: ✭ 10 (-76.74%)
Mutual labels:  vhdl
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (-37.21%)
Mutual labels:  vhdl
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+1518.6%)
Mutual labels:  vhdl
Parallella Hw
Parallella board design files
Stars: ✭ 389 (+804.65%)
Mutual labels:  vhdl
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-53.49%)
Mutual labels:  vhdl
Aes
AES-128 hardware implementation
Stars: ✭ 25 (-41.86%)
Mutual labels:  vhdl
Awesome Hdl
Hardware Description Languages
Stars: ✭ 385 (+795.35%)
Mutual labels:  vhdl
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+2127.91%)
Mutual labels:  vhdl
Microwatt
A tiny Open POWER ISA softcore written in VHDL 2008
Stars: ✭ 383 (+790.7%)
Mutual labels:  vhdl
Floating point library Jhu
VHDL for basic floating-point operations.
Stars: ✭ 22 (-48.84%)
Mutual labels:  vhdl
Mist Board
Core sources and tools for the MIST board
Stars: ✭ 350 (+713.95%)
Mutual labels:  vhdl
Flearadio
Digital FM Radio Receiver for FPGA
Stars: ✭ 36 (-16.28%)
Mutual labels:  vhdl
F32c
A 32-bit RISC-V / MIPS ISA retargetable CPU core & SoC, 1.63 DMIPS/MHz
Stars: ✭ 338 (+686.05%)
Mutual labels:  vhdl
Hashvoodoo Fpga Bitcoin Miner
HashVoodoo FPGA Bitcoin Miner
Stars: ✭ 16 (-62.79%)
Mutual labels:  vhdl
Hal
HAL – The Hardware Analyzer
Stars: ✭ 298 (+593.02%)
Mutual labels:  vhdl
Fpga Bbc
Acorn BBC Micro on an Altera DE1 FPGA board
Stars: ✭ 14 (-67.44%)
Mutual labels:  vhdl
Csi2rx
Open Source 4k CSI-2 Rx core for Xilinx FPGAs
Stars: ✭ 266 (+518.6%)
Mutual labels:  vhdl
Nexys4ddr
Stars: ✭ 16 (-62.79%)
Mutual labels:  vhdl
simple-riscv
A simple three-stage RISC-V CPU
Stars: ✭ 14 (-67.44%)
Mutual labels:  vhdl
Hdmi2usb Numato Opsis Sample Code
Example code for the Numato Opsis board, the first HDMI2USB production board.
Stars: ✭ 40 (-6.98%)
Mutual labels:  vhdl
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (+0%)
Mutual labels:  vhdl
Ece368 Lab
ECE368 | Lab
Stars: ✭ 6 (-86.05%)
Mutual labels:  vhdl
fpga puf
🔑 Technology-agnostic Physical Unclonable Function (PUF) hardware module for any FPGA.
Stars: ✭ 44 (+2.33%)
Mutual labels:  vhdl
Fpganes
Stars: ✭ 12 (-72.09%)
Mutual labels:  vhdl
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (+237.21%)
Mutual labels:  vhdl
Ustc Tmips
Stars: ✭ 6 (-86.05%)
Mutual labels:  vhdl
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (+16.28%)
Mutual labels:  vhdl
Vhdl Mode
A package for Sublime Text that aids coding in the VHDL language.
Stars: ✭ 31 (-27.91%)
Mutual labels:  vhdl
QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
Stars: ✭ 51 (+18.6%)
Mutual labels:  vhdl
Cocotb
cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python
Stars: ✭ 740 (+1620.93%)
Mutual labels:  vhdl
pyarch
🔌 Hardware Abstraction Library in Python
Stars: ✭ 15 (-65.12%)
Mutual labels:  vhdl
Multicomp
Simple custom computer on a FPGA
Stars: ✭ 8 (-81.4%)
Mutual labels:  vhdl
Gplgpu
GPL v3 2D/3D graphics engine in verilog
Stars: ✭ 515 (+1097.67%)
Mutual labels:  vhdl
Vhdl
VHDL Samples
Stars: ✭ 40 (-6.98%)
Mutual labels:  vhdl
Open Source Fpga Bitcoin Miner
A completely open source implementation of a Bitcoin Miner for Altera and Xilinx FPGAs. This project hopes to promote the free and open development of FPGA based mining solutions and secure the future of the Bitcoin project as a whole. A binary release is currently available for the Terasic DE2-115 Development Board, and there are compile-able projects for numerous boards.
Stars: ✭ 989 (+2200%)
Mutual labels:  vhdl
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-27.91%)
Mutual labels:  vhdl
Nexyspsram
AXI PSRAM Controller IP for use with Digilent Nexys 4
Stars: ✭ 7 (-83.72%)
Mutual labels:  vhdl
Vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
Stars: ✭ 438 (+918.6%)
Mutual labels:  vhdl
1-60 of 143 similar projects