All Projects → verilog-coding-style → Similar Projects or Alternatives

370 Open source projects that are alternatives of or similar to verilog-coding-style

cscs
A curated list of Coding Style Conventions and Standards.
Stars: ✭ 1,486 (+4027.78%)
Mutual labels:  coding-standards, coding-style
Coding-Standards
Coding Guidelines for C#
Stars: ✭ 125 (+247.22%)
Mutual labels:  coding-standards, coding-style
XS-Labs-Style-Guide
XS-Labs Coding Style Guide for C, C++, Objective-C and x86 Assembly
Stars: ✭ 20 (-44.44%)
Mutual labels:  coding-standards, coding-style
OpenStaticAnalyzer
OpenStaticAnalyzer is a source code analyzer tool, which can perform deep static analysis of the source code of complex systems.
Stars: ✭ 19 (-47.22%)
Mutual labels:  coding-standards, coding-style
eslint-config
MOXY eslint configuration to be used across several JavaScript projects
Stars: ✭ 14 (-61.11%)
Mutual labels:  coding-standards, coding-style
phpcs-psr4-sniff
[READ-ONLY] PHP_CodeSniffer sniff that checks class name matches PSR-4 project structure.
Stars: ✭ 23 (-36.11%)
Mutual labels:  coding-standards, coding-style
codingstyle
Java coding style and template project used at Munich university of applied sciences
Stars: ✭ 19 (-47.22%)
Mutual labels:  coding-standards, coding-style
eslint-config-javascript
Write bulletproof JavaScript like a pro! 😎
Stars: ✭ 34 (-5.56%)
Mutual labels:  coding-standards, coding-style
Codor
Custom PHPCS sniffs to find Code Smells
Stars: ✭ 40 (+11.11%)
Mutual labels:  coding-standards, coding-style
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (+225%)
Mutual labels:  verilog
yarvi
Yet Another RISC-V Implementation
Stars: ✭ 59 (+63.89%)
Mutual labels:  verilog
FAST9-Accelerator
FAST-9 Accelerator for Corner Detection
Stars: ✭ 32 (-11.11%)
Mutual labels:  verilog
MobileNet-in-FPGA
Generator of verilog description for FPGA MobileNet implementation
Stars: ✭ 107 (+197.22%)
Mutual labels:  verilog
FPGA RealTime and Static Sobel Edge Detection
Pipelined implementation of Sobel Edge Detection on OV7670 camera and on still images
Stars: ✭ 14 (-61.11%)
Mutual labels:  verilog
srv32
Simple 3-stage pipeline RISC-V processor
Stars: ✭ 88 (+144.44%)
Mutual labels:  verilog
Verilog-Practice
HDLBits website practices & solutions
Stars: ✭ 316 (+777.78%)
Mutual labels:  verilog
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (+2.78%)
Mutual labels:  verilog
verifla
Fork of OpenVeriFla - FPGA debugging logic analyzer to use with your designs - examples (so far) for ice40/IceStorm
Stars: ✭ 21 (-41.67%)
Mutual labels:  verilog
wptide
🌊 Tide is a series of automated tests run against every WordPress.org theme and plugin
Stars: ✭ 77 (+113.89%)
Mutual labels:  coding-standards
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (+41.67%)
Mutual labels:  verilog
verismith
Verilog Fuzzer to test the major simulators and sythesisers by generating random, valid Verilog.
Stars: ✭ 74 (+105.56%)
Mutual labels:  verilog
EDSAC
FPGA Verilog implementation of 1949 EDSAC Computer with animated tape reader, panel, teleprinter and CRT scope
Stars: ✭ 28 (-22.22%)
Mutual labels:  verilog
vericert
A formally verified high-level synthesis tool based on CompCert and written in Coq.
Stars: ✭ 63 (+75%)
Mutual labels:  verilog
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (+55.56%)
Mutual labels:  verilog
OpenROAD-flow-scripts
OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/
Stars: ✭ 124 (+244.44%)
Mutual labels:  verilog
coding-standard
Consistence - Coding Standard - PHP Code Sniffer rules
Stars: ✭ 73 (+102.78%)
Mutual labels:  coding-standards
cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
Stars: ✭ 157 (+336.11%)
Mutual labels:  verilog
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (+0%)
Mutual labels:  verilog
grunt-stylelint
Stylelint adapter for the Grunt task runner.
Stars: ✭ 26 (-27.78%)
Mutual labels:  coding-standards
NormEZ
Coding-style checker for Epitech students. This program analyzes your C source files for Epitech coding-style violations.
Stars: ✭ 73 (+102.78%)
Mutual labels:  coding-style
INT FP MAC
INT8 & FP16 multiplier accumulator (MAC) design with UVM verification completed.
Stars: ✭ 31 (-13.89%)
Mutual labels:  verilog
LVDS-7-to-1-Serializer
An Verilog implementation of 7-to-1 LVDS Serializer. Which can be used for comunicating FPGAs with LVDS TFT Screens.
Stars: ✭ 33 (-8.33%)
Mutual labels:  verilog
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (+63.89%)
Mutual labels:  verilog
verilog-sid-mos6581
MOS6581 SID chip emulator in SystemVerilog
Stars: ✭ 22 (-38.89%)
Mutual labels:  verilog
rules verilator
Bazel build rules for Verilator
Stars: ✭ 14 (-61.11%)
Mutual labels:  verilog
eslint-config-naver
Naver JavaScript Coding Conventions rules for eslint
Stars: ✭ 184 (+411.11%)
Mutual labels:  coding-standards
vcdvcd
Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.
Stars: ✭ 34 (-5.56%)
Mutual labels:  verilog
Solutions-to-HDLbits-Verilog-sets
Here are my solutions to HDLbits Verilog problem sets (HDLbits: https://hdlbits.01xz.net/wiki/Main_Page).
Stars: ✭ 57 (+58.33%)
Mutual labels:  verilog
ZYNQ-NVDLA
NVDLA (An Opensource DL Accelerator Framework) implementation on FPGA.
Stars: ✭ 144 (+300%)
Mutual labels:  verilog
php-codesniffer-sniffs
Custom sniffs for PHP_CodeSniffer
Stars: ✭ 16 (-55.56%)
Mutual labels:  coding-standards
fpga-nn
NN on FPGA
Stars: ✭ 16 (-55.56%)
Mutual labels:  verilog
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (+5.56%)
Mutual labels:  verilog
JavaCodingStandards
Java coding specification (daily coding summary, standardizing project team members have a unified specification, convenient cooperation, error checking, refactoring, etc.) When you abandon the specification to write code as you like when you come back to read it, Remove all the impulses of rewriting.
Stars: ✭ 24 (-33.33%)
Mutual labels:  coding-standards
PyChip-py-hcl
A Hardware Construct Language
Stars: ✭ 36 (+0%)
Mutual labels:  verilog
sniff
Simpler PHP code sniffer built on top of PHP-CS-Fixer.
Stars: ✭ 14 (-61.11%)
Mutual labels:  coding-standards
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (+130.56%)
Mutual labels:  verilog
avr
Reads a state transition system and performs property checking
Stars: ✭ 41 (+13.89%)
Mutual labels:  verilog
platform-lattice ice40
Lattice iCE40: development platform for PlatformIO
Stars: ✭ 34 (-5.56%)
Mutual labels:  verilog
Verilog-Gadget
🔧 Verilog plugin for Sublime Text 2/3. It helps to generate a simple testbench, instantiate a module, insert a user-header, repeat codes with formatted incremental/decremental numbers, etc.
Stars: ✭ 25 (-30.56%)
Mutual labels:  verilog
grunt-wp-css
Format style sheets according to the WordPress CSS coding standards.
Stars: ✭ 36 (+0%)
Mutual labels:  coding-standards
cpu11
Revengineered ancient PDP-11 CPUs, originals and clones
Stars: ✭ 120 (+233.33%)
Mutual labels:  verilog
MIPS-pipeline-processor
A pipelined implementation of the MIPS processor featuring hazard detection as well as forwarding
Stars: ✭ 92 (+155.56%)
Mutual labels:  verilog
ARM9-compatible-soft-CPU-core
This ARMv4-compatible CPU core is written in synthesiable verilog.It could launch uCLinux and Linux in MODELSIM. It has high Dhrystone benchmark value: 1.2 DMIPS/MHz. It could be utilized in your FPGA design as one submodule, if you master the interface of this .v file. This IP core is very compact. It is one .v file and has only less 1800 lines.
Stars: ✭ 42 (+16.67%)
Mutual labels:  verilog
drec-fpga-intro
Materials for "Introduction to FPGA and Verilog" at MIPT DREC
Stars: ✭ 66 (+83.33%)
Mutual labels:  verilog
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (+27.78%)
Mutual labels:  verilog
pdp6
PDP-6 Emulator
Stars: ✭ 47 (+30.56%)
Mutual labels:  verilog
ics-adpcm
Programmable multichannel ADPCM decoder for FPGA
Stars: ✭ 18 (-50%)
Mutual labels:  verilog
DFFRAM
Standard Cell Library based Memory Compiler using FF/Latch cells
Stars: ✭ 54 (+50%)
Mutual labels:  verilog
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (+44.44%)
Mutual labels:  verilog
yahdl
A programming language for FPGAs.
Stars: ✭ 20 (-44.44%)
Mutual labels:  verilog
1-60 of 370 similar projects