All Projects → Tang_e203_mini → Similar Projects or Alternatives

629 Open source projects that are alternatives of or similar to Tang_e203_mini

vga-clock
Show the time on a VGA monitor. Submitted for the Google MPW1 ASIC shuttle.
Stars: ✭ 48 (-64.44%)
Mutual labels:  fpga, verilog
Platformio Vscode Ide
PlatformIO IDE for VSCode: The next generation integrated development environment for IoT
Stars: ✭ 676 (+400.74%)
Mutual labels:  verilog, fpga
ics-adpcm
Programmable multichannel ADPCM decoder for FPGA
Stars: ✭ 18 (-86.67%)
Mutual labels:  fpga, verilog
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (-38.52%)
Mutual labels:  fpga, verilog
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (+23.7%)
Mutual labels:  fpga, verilog
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-72.59%)
Mutual labels:  fpga, verilog
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+415.56%)
Mutual labels:  verilog, fpga
Zbasic
A bare bones, basic, ZipCPU system designed for both testing and quick integration into new systems
Stars: ✭ 27 (-80%)
Mutual labels:  verilog, fpga
LVDS-7-to-1-Serializer
An Verilog implementation of 7-to-1 LVDS Serializer. Which can be used for comunicating FPGAs with LVDS TFT Screens.
Stars: ✭ 33 (-75.56%)
Mutual labels:  fpga, verilog
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (-71.85%)
Mutual labels:  fpga, verilog
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-73.33%)
Mutual labels:  fpga, verilog
MobileNet-in-FPGA
Generator of verilog description for FPGA MobileNet implementation
Stars: ✭ 107 (-20.74%)
Mutual labels:  fpga, verilog
fpga-nn
NN on FPGA
Stars: ✭ 16 (-88.15%)
Mutual labels:  fpga, verilog
picorv32 Xilinx
A picorv32-riscv Soc with DMAC and Ethernet controller & lwip & Kirtex7@333MHz
Stars: ✭ 49 (-63.7%)
Mutual labels:  verilog, risc-v
EDSAC
FPGA Verilog implementation of 1949 EDSAC Computer with animated tape reader, panel, teleprinter and CRT scope
Stars: ✭ 28 (-79.26%)
Mutual labels:  fpga, verilog
Antikernel
The Antikernel operating system project
Stars: ✭ 75 (-44.44%)
Mutual labels:  verilog, fpga
KyogenRV
The Simple 5-staged pipeline RISC-V written in chisel3 for intel FPGA.
Stars: ✭ 37 (-72.59%)
Mutual labels:  fpga, risc-v
FPGA NTP SERVER
A FPGA implementation of the NTP and NTS protocols
Stars: ✭ 27 (-80%)
Mutual labels:  fpga, verilog
eddr3
mirror of https://git.elphel.com/Elphel/eddr3
Stars: ✭ 33 (-75.56%)
Mutual labels:  fpga, verilog
wbi2c
Wishbone controlled I2C controllers
Stars: ✭ 25 (-81.48%)
Mutual labels:  fpga, verilog
FPGA RealTime and Static Sobel Edge Detection
Pipelined implementation of Sobel Edge Detection on OV7670 camera and on still images
Stars: ✭ 14 (-89.63%)
Mutual labels:  fpga, verilog
Symbiflow Examples
Example designs showing different ways to use SymbiFlow toolchains.
Stars: ✭ 71 (-47.41%)
Mutual labels:  verilog, fpga
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (+7.41%)
Mutual labels:  fpga, verilog
Riscboy
Portable games console, designed from scratch: CPU, graphics, PCB, and the kitchen sink
Stars: ✭ 103 (-23.7%)
Mutual labels:  fpga, risc-v
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-77.04%)
Mutual labels:  verilog, fpga
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-52.59%)
Mutual labels:  verilog, fpga
FPGA-USB-Device
FPGA-based USB-device controller to implement USB-CDC, USB-HID, etc.
Stars: ✭ 29 (-78.52%)
Mutual labels:  fpga, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-87.41%)
Mutual labels:  fpga, verilog
Iroha
Intermediate Representation Of Hardware Abstraction (LLVM-ish for HLS)
Stars: ✭ 30 (-77.78%)
Mutual labels:  verilog, fpga
Higan Verilog
This is a higan/Verilator co-simulation example/framework
Stars: ✭ 35 (-74.07%)
Mutual labels:  verilog, fpga
Vgasim
A Video display simulator
Stars: ✭ 94 (-30.37%)
Mutual labels:  verilog, fpga
blarney
Haskell library for hardware description
Stars: ✭ 81 (-40%)
Mutual labels:  fpga, verilog
simple-riscv
A simple three-stage RISC-V CPU
Stars: ✭ 14 (-89.63%)
Mutual labels:  fpga, risc-v
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (+100.74%)
Mutual labels:  verilog, fpga
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-68.15%)
Mutual labels:  fpga, verilog
Openpiton
The OpenPiton Platform
Stars: ✭ 282 (+108.89%)
Mutual labels:  verilog, fpga
Icezum
🌟 IceZUM Alhambra: an Arduino-like Open FPGA electronic board
Stars: ✭ 280 (+107.41%)
Mutual labels:  verilog, fpga
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
Stars: ✭ 51 (-62.22%)
Mutual labels:  fpga, verilog
Scr1
SCR1 is a high-quality open-source RISC-V MCU core in Verilog
Stars: ✭ 393 (+191.11%)
Mutual labels:  verilog, risc-v
Apio
🌱 Open source ecosystem for open FPGA boards
Stars: ✭ 366 (+171.11%)
Mutual labels:  verilog, fpga
Fpga Imaging Library
An open source library for image processing on FPGA.
Stars: ✭ 325 (+140.74%)
Mutual labels:  verilog, fpga
Nyuziprocessor
GPGPU microprocessor architecture
Stars: ✭ 1,351 (+900.74%)
Mutual labels:  verilog, fpga
Firesim
FireSim: Easy-to-use, Scalable, FPGA-accelerated Cycle-accurate Hardware Simulation in the Cloud
Stars: ✭ 415 (+207.41%)
Mutual labels:  fpga, risc-v
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Stars: ✭ 466 (+245.19%)
Mutual labels:  verilog, fpga
Rsyocto
🤖 SoCFPGA: Open Source embedded Linux developed for Intel (ALTERA) SoC-FPGAs (Cyclone V & Arria 10)
Stars: ✭ 41 (-69.63%)
Mutual labels:  verilog, fpga
Zipcpu
A small, light weight, RISC CPU soft core
Stars: ✭ 640 (+374.07%)
Mutual labels:  verilog, fpga
Fwrisc
Featherweight RISC-V implementation
Stars: ✭ 39 (-71.11%)
Mutual labels:  verilog, risc-v
Wbuart32
A simple, basic, formally verified UART controller
Stars: ✭ 133 (-1.48%)
Mutual labels:  verilog, fpga
Hdl
HDL libraries and projects
Stars: ✭ 727 (+438.52%)
Mutual labels:  verilog, fpga
Uhd
The USRP™ Hardware Driver Repository
Stars: ✭ 544 (+302.96%)
Mutual labels:  verilog, fpga
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+609.63%)
Mutual labels:  verilog, fpga
Icestudio
❄️ Visual editor for open FPGA boards
Stars: ✭ 958 (+609.63%)
Mutual labels:  verilog, fpga
Hrm Cpu
Human Resource Machine - CPU Design #HRM
Stars: ✭ 43 (-68.15%)
Mutual labels:  verilog, fpga
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Stars: ✭ 475 (+251.85%)
Mutual labels:  verilog, fpga
Basic verilog
Must-have verilog systemverilog modules
Stars: ✭ 247 (+82.96%)
Mutual labels:  verilog, fpga
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (-60%)
Mutual labels:  fpga, verilog
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (-36.3%)
Mutual labels:  fpga, verilog
Riscv Cores List
RISC-V Cores, SoC platforms and SoCs
Stars: ✭ 471 (+248.89%)
Mutual labels:  fpga, risc-v
Mips Cpu
A MIPS CPU implemented in Verilog
Stars: ✭ 38 (-71.85%)
Mutual labels:  verilog, fpga
Aes
Verilog implementation of the symmetric block cipher AES (Advanced Encryption Standard) as specified in NIST FIPS 197. This implementation supports 128 and 256 bit keys.
Stars: ✭ 131 (-2.96%)
Mutual labels:  verilog, fpga
61-120 of 629 similar projects