Top 144 vhdl open source projects

Open Source Fpga Bitcoin Miner
A completely open source implementation of a Bitcoin Miner for Altera and Xilinx FPGAs. This project hopes to promote the free and open development of FPGA based mining solutions and secure the future of the Bitcoin project as a whole. A binary release is currently available for the Terasic DE2-115 Development Board, and there are compile-able projects for numerous boards.
✭ 989
vhdl
Flearadio
Digital FM Radio Receiver for FPGA
✭ 36
vhdl
Ophidian
Ophidian's Mirror Repository on github. https://gitlab.com/eclufsc/eda/ophidian
Vhdl Mode
A package for Sublime Text that aids coding in the VHDL language.
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Lxp32 Cpu
A lightweight, open source and FPGA-friendly 32-bit CPU core based on an original instruction set
Fpga Bbc
Acorn BBC Micro on an Altera DE1 FPGA board
✭ 14
vhdl
Vhdl Mips Pipeline Microprocessor
VHDL-Mips-Pipeline-Microprocessor
✭ 12
vhdl
Rewire
Experimental compiler for a subset of Haskell to VHDL
✭ 10
vhdl
Multicomp
Simple custom computer on a FPGA
✭ 8
vhdl
Nexyspsram
AXI PSRAM Controller IP for use with Digilent Nexys 4
✭ 7
vhdl
Aes
AES-128 hardware implementation
Openrio
Contains VHDL IP-blocks to create stand-alone RapidIO-endpoints, RapidIO-switches and RapidIO-switches with local endpoints.
✭ 23
vhdl
Floating point library Jhu
VHDL for basic floating-point operations.
✭ 22
vhdl
Audioxtreamer
ASIO driver, Usb Driver, FX2LP Firmware, VHDL Fpga, Schematics & PCB Layout for the AudioXtreamer, a USB 2.0 32ch Audio/Midi interface for retrofitting into digital mixers/interfaces.
Hashvoodoo Fpga Bitcoin Miner
HashVoodoo FPGA Bitcoin Miner
✭ 16
vhdl
Zedboard audio
A Audio Interface for the Zedboard
✭ 16
vhdl
I2s Interface Vhdl
A simplified i2s interface taken from OpenCores' I2S Interface. Aimed for Altera Avalon Streaming interface.
✭ 6
vhdl
Ece368 Lab
ECE368 | Lab
✭ 6
vhdl
Sha 256 Hdl
An implementation of original SHA-256 hash function in (RTL) VHDL
✭ 6
vhdl
Fpga webserver
A work-in-progress for what is to be a software-free web server for static content.
✭ 762
vhdl
Cocotb
cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python
Spinalhdl
Scala based HDL
Gplgpu
GPL v3 2D/3D graphics engine in verilog
✭ 515
vhdl
Vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
Parallella Hw
Parallella board design files
✭ 389
vhdl
Gcvideo
GameCube Digital AV converter
✭ 385
vhdl
Awesome Hdl
Hardware Description Languages
Parallella Examples
Community created parallella projects
✭ 384
vhdl
Microwatt
A tiny Open POWER ISA softcore written in VHDL 2008
Riscv vhdl
Portable RISC-V System-on-Chip implementation: RTL, debugger and simulators
Mist Board
Core sources and tools for the MIST board
✭ 350
vhdl
Nvc
VHDL compiler and simulator
✭ 347
vhdl
F32c
A 32-bit RISC-V / MIPS ISA retargetable CPU core & SoC, 1.63 DMIPS/MHz
Dsi Shield
Arduino MIPI DSI Shield
✭ 330
vhdl
Hal
HAL – The Hardware Analyzer
Edalize
An abstraction library for interfacing EDA tools
Csi2rx
Open Source 4k CSI-2 Rx core for Xilinx FPGAs
✭ 266
vhdl
Opl3 fpga
Reverse engineered SystemVerilog RTL version of the Yamaha OPL3 (YMF262) FM Synthesizer
✭ 255
vhdl
SmartVHDL
SublimeText Plugin for VHDL (highlight, autocompletion, navigation, ...)
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
fpga puf
🔑 Technology-agnostic Physical Unclonable Function (PUF) hardware module for any FPGA.
vcd
VCD file (Value Change Dump) command line viewer
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
pyarch
🔌 Hardware Abstraction Library in Python
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
pyVHDLParser
Streaming based VHDL parser.
61-120 of 144 vhdl projects