All Projects → Gplgpu → Similar Projects or Alternatives

143 Open source projects that are alternatives of or similar to Gplgpu

OpenNAS
OpenN@S: Open-source software to NAS automatic VHDL code generation
Stars: ✭ 15 (-97.09%)
Mutual labels:  vhdl
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (-91.07%)
Mutual labels:  vhdl
CryptoHDL
A list of VHDL codes implementing cryptographic algorithms
Stars: ✭ 14 (-97.28%)
Mutual labels:  vhdl
noasic
An open-source VHDL library for FPGA design.
Stars: ✭ 27 (-94.76%)
Mutual labels:  vhdl
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-96.7%)
Mutual labels:  vhdl
docker
Scripts to build and use docker images including GHDL
Stars: ✭ 27 (-94.76%)
Mutual labels:  vhdl
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-93.01%)
Mutual labels:  vhdl
Dsi Shield
Arduino MIPI DSI Shield
Stars: ✭ 330 (-35.92%)
Mutual labels:  vhdl
Learning
@elahe-dastan / @1995parham training and testing repository 📚 🤓
Stars: ✭ 53 (-89.71%)
Mutual labels:  vhdl
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (-94.76%)
Mutual labels:  vhdl
symbolator
HDL symbol generator
Stars: ✭ 123 (-76.12%)
Mutual labels:  vhdl
A2i
Stars: ✭ 214 (-58.45%)
Mutual labels:  vhdl
SmartVHDL
SublimeText Plugin for VHDL (highlight, autocompletion, navigation, ...)
Stars: ✭ 12 (-97.67%)
Mutual labels:  vhdl
yavhdl
Yet Another VHDL tool
Stars: ✭ 29 (-94.37%)
Mutual labels:  vhdl
Nvc
VHDL compiler and simulator
Stars: ✭ 347 (-32.62%)
Mutual labels:  vhdl
vboard
Virtual development board for HDL design
Stars: ✭ 32 (-93.79%)
Mutual labels:  vhdl
vcd
VCD file (Value Change Dump) command line viewer
Stars: ✭ 40 (-92.23%)
Mutual labels:  vhdl
vhdl-hdmi-out
HDMI Out VHDL code for 7-series Xilinx FPGAs
Stars: ✭ 36 (-93.01%)
Mutual labels:  vhdl
Parallella Examples
Community created parallella projects
Stars: ✭ 384 (-25.44%)
Mutual labels:  vhdl
captouch
👇 Add capacitive touch buttons to any FPGA!
Stars: ✭ 96 (-81.36%)
Mutual labels:  vhdl
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-97.09%)
Mutual labels:  vhdl
MSXPi
Interface for MSX to Connect and use Raspberry Pi resources
Stars: ✭ 61 (-88.16%)
Mutual labels:  vhdl
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (-47.57%)
Mutual labels:  vhdl
Orca
RISC-V by VectorBlox
Stars: ✭ 248 (-51.84%)
Mutual labels:  vhdl
fphdl
VHDL-2008 Support Library
Stars: ✭ 36 (-93.01%)
Mutual labels:  vhdl
pyVHDLParser
Streaming based VHDL parser.
Stars: ✭ 51 (-90.1%)
Mutual labels:  vhdl
Awesome Model Quantization
A list of papers, docs, codes about model quantization. This repo is aimed to provide the info for model quantization research, we are continuously improving the project. Welcome to PR the works (papers, repositories) that are missed by the repo.
Stars: ✭ 200 (-61.17%)
Mutual labels:  vhdl
simple-riscv
A simple three-stage RISC-V CPU
Stars: ✭ 14 (-97.28%)
Mutual labels:  vhdl
mrisc32-a1
A pipelined, in-order, scalar VHDL implementation of the MRISC32 ISA
Stars: ✭ 21 (-95.92%)
Mutual labels:  vhdl
Mist Board
Core sources and tools for the MIST board
Stars: ✭ 350 (-32.04%)
Mutual labels:  vhdl
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (-93.79%)
Mutual labels:  vhdl
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-91.65%)
Mutual labels:  vhdl
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (-88.54%)
Mutual labels:  vhdl
Awesome Hdl
Hardware Description Languages
Stars: ✭ 385 (-25.24%)
Mutual labels:  vhdl
FPGA-I2C-Minion
A simple I2C minion in VHDL
Stars: ✭ 50 (-90.29%)
Mutual labels:  vhdl
fpga puf
🔑 Technology-agnostic Physical Unclonable Function (PUF) hardware module for any FPGA.
Stars: ✭ 44 (-91.46%)
Mutual labels:  vhdl
Cache
Simple implementation of cache using VHDL
Stars: ✭ 17 (-96.7%)
Mutual labels:  vhdl
F32c
A 32-bit RISC-V / MIPS ISA retargetable CPU core & SoC, 1.63 DMIPS/MHz
Stars: ✭ 338 (-34.37%)
Mutual labels:  vhdl
SiaFpgaMiner
VHDL FPGA design of an optimized Blake2b pipeline to mine Siacoin
Stars: ✭ 58 (-88.74%)
Mutual labels:  vhdl
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (-71.84%)
Mutual labels:  vhdl
BenEaterVHDL
VHDL project to run a simple 8-bit computer very similar to the one built by Ben Eater (see https://eater.net)
Stars: ✭ 30 (-94.17%)
Mutual labels:  vhdl
Parallella Hw
Parallella board design files
Stars: ✭ 389 (-24.47%)
Mutual labels:  vhdl
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-95.15%)
Mutual labels:  vhdl
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-90.29%)
Mutual labels:  vhdl
VHDLFormatter
VHDL formatter web online written in typescript
Stars: ✭ 44 (-91.46%)
Mutual labels:  vhdl
Hal
HAL – The Hardware Analyzer
Stars: ✭ 298 (-42.14%)
Mutual labels:  vhdl
fpga torture
🔥 Technology-agnostic FPGA stress-test: maximum logic utilization and high dynamic power consumption.
Stars: ✭ 23 (-95.53%)
Mutual labels:  vhdl
QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
Stars: ✭ 51 (-90.1%)
Mutual labels:  vhdl
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (-36.89%)
Mutual labels:  vhdl
Microwatt
A tiny Open POWER ISA softcore written in VHDL 2008
Stars: ✭ 383 (-25.63%)
Mutual labels:  vhdl
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (-89.51%)
Mutual labels:  vhdl
pyarch
🔌 Hardware Abstraction Library in Python
Stars: ✭ 15 (-97.09%)
Mutual labels:  vhdl
Forth Cpu
A Forth CPU and System on a Chip, based on the J1, written in VHDL
Stars: ✭ 244 (-52.62%)
Mutual labels:  vhdl
Csi2rx
Open Source 4k CSI-2 Rx core for Xilinx FPGAs
Stars: ✭ 266 (-48.35%)
Mutual labels:  vhdl
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-96.12%)
Mutual labels:  vhdl
Vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
Stars: ✭ 438 (-14.95%)
Mutual labels:  vhdl
Gcvideo
GameCube Digital AV converter
Stars: ✭ 385 (-25.24%)
Mutual labels:  vhdl
Riscv vhdl
Portable RISC-V System-on-Chip implementation: RTL, debugger and simulators
Stars: ✭ 356 (-30.87%)
Mutual labels:  vhdl
Opl3 fpga
Reverse engineered SystemVerilog RTL version of the Yamaha OPL3 (YMF262) FM Synthesizer
Stars: ✭ 255 (-50.49%)
Mutual labels:  vhdl
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (-89.13%)
Mutual labels:  vhdl
1-60 of 143 similar projects