All Projects → Openrio → Similar Projects or Alternatives

143 Open source projects that are alternatives of or similar to Openrio

QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
Stars: ✭ 51 (+121.74%)
Mutual labels:  vhdl
mrisc32-a1
A pipelined, in-order, scalar VHDL implementation of the MRISC32 ISA
Stars: ✭ 21 (-8.7%)
Mutual labels:  vhdl
F32c
A 32-bit RISC-V / MIPS ISA retargetable CPU core & SoC, 1.63 DMIPS/MHz
Stars: ✭ 338 (+1369.57%)
Mutual labels:  vhdl
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (+530.43%)
Mutual labels:  vhdl
Cache
Simple implementation of cache using VHDL
Stars: ✭ 17 (-26.09%)
Mutual labels:  vhdl
Microwatt
A tiny Open POWER ISA softcore written in VHDL 2008
Stars: ✭ 383 (+1565.22%)
Mutual labels:  vhdl
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-13.04%)
Mutual labels:  vhdl
Cocotb
cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python
Stars: ✭ 740 (+3117.39%)
Mutual labels:  vhdl
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (+156.52%)
Mutual labels:  vhdl
Csi2rx
Open Source 4k CSI-2 Rx core for Xilinx FPGAs
Stars: ✭ 266 (+1056.52%)
Mutual labels:  vhdl
fpga puf
🔑 Technology-agnostic Physical Unclonable Function (PUF) hardware module for any FPGA.
Stars: ✭ 44 (+91.3%)
Mutual labels:  vhdl
BenEaterVHDL
VHDL project to run a simple 8-bit computer very similar to the one built by Ben Eater (see https://eater.net)
Stars: ✭ 30 (+30.43%)
Mutual labels:  vhdl
Awesome Hdl
Hardware Description Languages
Stars: ✭ 385 (+1573.91%)
Mutual labels:  vhdl
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (+117.39%)
Mutual labels:  vhdl
Ustc Tmips
Stars: ✭ 6 (-73.91%)
Mutual labels:  vhdl
pyarch
🔌 Hardware Abstraction Library in Python
Stars: ✭ 15 (-34.78%)
Mutual labels:  vhdl
Mist Board
Core sources and tools for the MIST board
Stars: ✭ 350 (+1421.74%)
Mutual labels:  vhdl
pyVHDLParser
Streaming based VHDL parser.
Stars: ✭ 51 (+121.74%)
Mutual labels:  vhdl
Nexys4ddr
Stars: ✭ 16 (-30.43%)
Mutual labels:  vhdl
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (+39.13%)
Mutual labels:  vhdl
Hal
HAL – The Hardware Analyzer
Stars: ✭ 298 (+1195.65%)
Mutual labels:  vhdl
FPGA-I2C-Minion
A simple I2C minion in VHDL
Stars: ✭ 50 (+117.39%)
Mutual labels:  vhdl
Gplgpu
GPL v3 2D/3D graphics engine in verilog
Stars: ✭ 515 (+2139.13%)
Mutual labels:  vhdl
SiaFpgaMiner
VHDL FPGA design of an optimized Blake2b pipeline to mine Siacoin
Stars: ✭ 58 (+152.17%)
Mutual labels:  vhdl
simple-riscv
A simple three-stage RISC-V CPU
Stars: ✭ 14 (-39.13%)
Mutual labels:  vhdl
docker
Scripts to build and use docker images including GHDL
Stars: ✭ 27 (+17.39%)
Mutual labels:  vhdl
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (+100%)
Mutual labels:  vhdl
Gcvideo
GameCube Digital AV converter
Stars: ✭ 385 (+1573.91%)
Mutual labels:  vhdl
vcd
VCD file (Value Change Dump) command line viewer
Stars: ✭ 40 (+73.91%)
Mutual labels:  vhdl
Sha 256 Hdl
An implementation of original SHA-256 hash function in (RTL) VHDL
Stars: ✭ 6 (-73.91%)
Mutual labels:  vhdl
CryptoHDL
A list of VHDL codes implementing cryptographic algorithms
Stars: ✭ 14 (-39.13%)
Mutual labels:  vhdl
Parallella Examples
Community created parallella projects
Stars: ✭ 384 (+1569.57%)
Mutual labels:  vhdl
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-34.78%)
Mutual labels:  vhdl
Zedboard audio
A Audio Interface for the Zedboard
Stars: ✭ 16 (-30.43%)
Mutual labels:  vhdl
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (+17.39%)
Mutual labels:  vhdl
Riscv vhdl
Portable RISC-V System-on-Chip implementation: RTL, debugger and simulators
Stars: ✭ 356 (+1447.83%)
Mutual labels:  vhdl
fphdl
VHDL-2008 Support Library
Stars: ✭ 36 (+56.52%)
Mutual labels:  vhdl
Fpga webserver
A work-in-progress for what is to be a software-free web server for static content.
Stars: ✭ 762 (+3213.04%)
Mutual labels:  vhdl
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (+143.48%)
Mutual labels:  vhdl
Nvc
VHDL compiler and simulator
Stars: ✭ 347 (+1408.7%)
Mutual labels:  vhdl
symbolator
HDL symbol generator
Stars: ✭ 123 (+434.78%)
Mutual labels:  vhdl
Audioxtreamer
ASIO driver, Usb Driver, FX2LP Firmware, VHDL Fpga, Schematics & PCB Layout for the AudioXtreamer, a USB 2.0 32ch Audio/Midi interface for retrofitting into digital mixers/interfaces.
Stars: ✭ 22 (-4.35%)
Mutual labels:  vhdl
noasic
An open-source VHDL library for FPGA design.
Stars: ✭ 27 (+17.39%)
Mutual labels:  vhdl
Dsi Shield
Arduino MIPI DSI Shield
Stars: ✭ 330 (+1334.78%)
Mutual labels:  vhdl
yavhdl
Yet Another VHDL tool
Stars: ✭ 29 (+26.09%)
Mutual labels:  vhdl
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+2926.09%)
Mutual labels:  vhdl
OpenNAS
OpenN@S: Open-source software to NAS automatic VHDL code generation
Stars: ✭ 15 (-34.78%)
Mutual labels:  vhdl
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+1073.91%)
Mutual labels:  vhdl
vboard
Virtual development board for HDL design
Stars: ✭ 32 (+39.13%)
Mutual labels:  vhdl
I2s Interface Vhdl
A simplified i2s interface taken from OpenCores' I2S Interface. Aimed for Altera Avalon Streaming interface.
Stars: ✭ 6 (-73.91%)
Mutual labels:  vhdl
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (+56.52%)
Mutual labels:  vhdl
Opl3 fpga
Reverse engineered SystemVerilog RTL version of the Yamaha OPL3 (YMF262) FM Synthesizer
Stars: ✭ 255 (+1008.7%)
Mutual labels:  vhdl
vhdl-hdmi-out
HDMI Out VHDL code for 7-series Xilinx FPGAs
Stars: ✭ 36 (+56.52%)
Mutual labels:  vhdl
Vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
Stars: ✭ 438 (+1804.35%)
Mutual labels:  vhdl
SmartVHDL
SublimeText Plugin for VHDL (highlight, autocompletion, navigation, ...)
Stars: ✭ 12 (-47.83%)
Mutual labels:  vhdl
Floating point library Jhu
VHDL for basic floating-point operations.
Stars: ✭ 22 (-4.35%)
Mutual labels:  vhdl
Hashvoodoo Fpga Bitcoin Miner
HashVoodoo FPGA Bitcoin Miner
Stars: ✭ 16 (-30.43%)
Mutual labels:  vhdl
Ece368 Lab
ECE368 | Lab
Stars: ✭ 6 (-73.91%)
Mutual labels:  vhdl
Parallella Hw
Parallella board design files
Stars: ✭ 389 (+1591.3%)
Mutual labels:  vhdl
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (+86.96%)
Mutual labels:  vhdl
1-60 of 143 similar projects