All Projects → Rggen → Similar Projects or Alternatives

695 Open source projects that are alternatives of or similar to Rggen

ice-chips-verilog
IceChips is a library of all common discrete logic devices in Verilog
Stars: ✭ 78 (+44.44%)
Mutual labels:  fpga, eda
ZYNQ-NVDLA
NVDLA (An Opensource DL Accelerator Framework) implementation on FPGA.
Stars: ✭ 144 (+166.67%)
Mutual labels:  fpga, verilog
FpOC
FPGA-based Field Oriented Control (FOC) for driving BLDC/PMSM motor.
Stars: ✭ 138 (+155.56%)
Mutual labels:  fpga, verilog
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (+209.26%)
Mutual labels:  fpga, verilog
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+501.85%)
Mutual labels:  fpga, verilog
Red Pitaya Notes
Notes on the Red Pitaya Open Source Instrument
Stars: ✭ 205 (+279.63%)
Mutual labels:  verilog, fpga
ics-adpcm
Programmable multichannel ADPCM decoder for FPGA
Stars: ✭ 18 (-66.67%)
Mutual labels:  fpga, verilog
yahdl
A programming language for FPGAs.
Stars: ✭ 20 (-62.96%)
Mutual labels:  fpga, verilog
Wbscope
A wishbone controlled scope for FPGA's
Stars: ✭ 50 (-7.41%)
Mutual labels:  verilog, fpga
riscv-cores-list
RISC-V Cores, SoC platforms and SoCs
Stars: ✭ 651 (+1105.56%)
Mutual labels:  asic, fpga
yarvi
Yet Another RISC-V Implementation
Stars: ✭ 59 (+9.26%)
Mutual labels:  fpga, verilog
eddr3
mirror of https://git.elphel.com/Elphel/eddr3
Stars: ✭ 33 (-38.89%)
Mutual labels:  fpga, verilog
Mips Cpu
A MIPS CPU implemented in Verilog
Stars: ✭ 38 (-29.63%)
Mutual labels:  verilog, fpga
Platformio Vscode Ide
PlatformIO IDE for VSCode: The next generation integrated development environment for IoT
Stars: ✭ 676 (+1151.85%)
Mutual labels:  verilog, fpga
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (+53.7%)
Mutual labels:  fpga, verilog
Verilog Generator Of Neural Net Digit Detector For Fpga
Verilog Generator of Neural Net Digit Detector for FPGA
Stars: ✭ 187 (+246.3%)
Mutual labels:  verilog, fpga
verilog-sid-mos6581
MOS6581 SID chip emulator in SystemVerilog
Stars: ✭ 22 (-59.26%)
Mutual labels:  fpga, verilog
MobileNet-in-FPGA
Generator of verilog description for FPGA MobileNet implementation
Stars: ✭ 107 (+98.15%)
Mutual labels:  fpga, verilog
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (-5.56%)
Mutual labels:  fpga, verilog
pdp6
PDP-6 Emulator
Stars: ✭ 47 (-12.96%)
Mutual labels:  fpga, verilog
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-72.22%)
Mutual labels:  fpga, verilog
EDSAC
FPGA Verilog implementation of 1949 EDSAC Computer with animated tape reader, panel, teleprinter and CRT scope
Stars: ✭ 28 (-48.15%)
Mutual labels:  fpga, verilog
shapool-core
FPGA core for SHA256d mining targeting Lattice iCE40 devices.
Stars: ✭ 19 (-64.81%)
Mutual labels:  fpga, verilog
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-7.41%)
Mutual labels:  fpga, verilog
karuta
Karuta HLS Compiler: High level synthesis from prototype based object oriented script language to RTL (Verilog) aiming to be useful for FPGA development.
Stars: ✭ 89 (+64.81%)
Mutual labels:  fpga, verilog
FPGA RealTime and Static Sobel Edge Detection
Pipelined implementation of Sobel Edge Detection on OV7670 camera and on still images
Stars: ✭ 14 (-74.07%)
Mutual labels:  fpga, verilog
Hdl
HDL libraries and projects
Stars: ✭ 727 (+1246.3%)
Mutual labels:  verilog, fpga
Zipcpu
A small, light weight, RISC CPU soft core
Stars: ✭ 640 (+1085.19%)
Mutual labels:  verilog, fpga
Openwifi Hw
FPGA/hardware design of openwifi
Stars: ✭ 181 (+235.19%)
Mutual labels:  verilog, fpga
wbi2c
Wishbone controlled I2C controllers
Stars: ✭ 25 (-53.7%)
Mutual labels:  fpga, verilog
FPGA CryptoNight V7
FPGA CryptoNight V7 Minner
Stars: ✭ 21 (-61.11%)
Mutual labels:  asic, fpga
Deep-DarkFantasy
Global Dark Mode for ALL apps on ANY platforms.
Stars: ✭ 16 (-70.37%)
Mutual labels:  fpga, verilog
DFiant
DFiant: A Dataflow Hardware Descripition Language
Stars: ✭ 21 (-61.11%)
Mutual labels:  asic, fpga
dblclockfft
A configurable C++ generator of pipelined Verilog FFT cores
Stars: ✭ 147 (+172.22%)
Mutual labels:  fpga, verilog
1bitSDR
Minimal SDR with Lattice MachXO2 FPGA. And a port to Cyclone3 by Steven Groom
Stars: ✭ 53 (-1.85%)
Mutual labels:  fpga, verilog
Fusesoc
Package manager and build abstraction tool for FPGA/ASIC development
Stars: ✭ 607 (+1024.07%)
Mutual labels:  fpga, eda
pygears
HW Design: A Functional Approach
Stars: ✭ 122 (+125.93%)
Mutual labels:  asic, fpga
super-miyamoto-sprint
Homebrew game for homebrew FPGA game console
Stars: ✭ 48 (-11.11%)
Mutual labels:  fpga, verilog
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
Stars: ✭ 51 (-5.56%)
Mutual labels:  fpga, verilog
ofdm
Chisel Things for OFDM
Stars: ✭ 23 (-57.41%)
Mutual labels:  rtl, verilog
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-20.37%)
Mutual labels:  fpga, verilog
dpll
A collection of phase locked loop (PLL) related projects
Stars: ✭ 55 (+1.85%)
Mutual labels:  fpga, verilog
sv-tests
Test suite designed to check compliance with the SystemVerilog standard.
Stars: ✭ 148 (+174.07%)
Mutual labels:  rtl, verilog
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+10157.41%)
Mutual labels:  verilog, fpga
usbcorev
A full-speed device-side USB peripheral core written in Verilog.
Stars: ✭ 135 (+150%)
Mutual labels:  fpga, verilog
rapcores
Robotic Application Processor
Stars: ✭ 14 (-74.07%)
Mutual labels:  fpga, verilog
Uhd
The USRP™ Hardware Driver Repository
Stars: ✭ 544 (+907.41%)
Mutual labels:  verilog, fpga
Hrm Cpu
Human Resource Machine - CPU Design #HRM
Stars: ✭ 43 (-20.37%)
Mutual labels:  verilog, fpga
Icezum
🌟 IceZUM Alhambra: an Arduino-like Open FPGA electronic board
Stars: ✭ 280 (+418.52%)
Mutual labels:  verilog, fpga
Openpiton
The OpenPiton Platform
Stars: ✭ 282 (+422.22%)
Mutual labels:  verilog, fpga
Beagle sdr gps
KiwiSDR: BeagleBone web-accessible shortwave receiver and software-defined GPS
Stars: ✭ 300 (+455.56%)
Mutual labels:  verilog, fpga
Embedded Neural Network
collection of works aiming at reducing model sizes or the ASIC/FPGA accelerator for machine learning
Stars: ✭ 495 (+816.67%)
Mutual labels:  fpga, asic
Apio
🌱 Open source ecosystem for open FPGA boards
Stars: ✭ 366 (+577.78%)
Mutual labels:  verilog, fpga
Fpga Imaging Library
An open source library for image processing on FPGA.
Stars: ✭ 325 (+501.85%)
Mutual labels:  verilog, fpga
Scr1
SCR1 is a high-quality open-source RISC-V MCU core in Verilog
Stars: ✭ 393 (+627.78%)
Mutual labels:  verilog, rtl
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Stars: ✭ 475 (+779.63%)
Mutual labels:  verilog, fpga
Reduceron
FPGA Haskell machine with game changing performance. Reduceron is Matthew Naylor, Colin Runciman and Jason Reich's high performance FPGA softcore for running lazy functional programs, including hardware garbage collection. Reduceron has been implemented on various FPGAs with clock frequency ranging from 60 to 150 MHz depending on the FPGA. A high degree of parallelism allows Reduceron to implement graph evaluation very efficiently. This fork aims to continue development on this, with a view to practical applications. Comments, questions, etc are welcome.
Stars: ✭ 308 (+470.37%)
Mutual labels:  verilog, fpga
Higan Verilog
This is a higan/Verilator co-simulation example/framework
Stars: ✭ 35 (-35.19%)
Mutual labels:  verilog, fpga
Riscv Cores List
RISC-V Cores, SoC platforms and SoCs
Stars: ✭ 471 (+772.22%)
Mutual labels:  fpga, asic
Vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
Stars: ✭ 438 (+711.11%)
Mutual labels:  fpga, asic
61-120 of 695 similar projects