All Projects → getting-started → Similar Projects or Alternatives

1339 Open source projects that are alternatives of or similar to getting-started

Basic verilog
Must-have verilog systemverilog modules
Stars: ✭ 247 (+394%)
Mutual labels:  fpga, verilog
projects
A website that showcases interesting projects, using Angular JS.
Stars: ✭ 106 (+112%)
Mutual labels:  projects, gsoc
e-verest
EVEREST: e-Versatile Research Stick for peoples
Stars: ✭ 21 (-58%)
Mutual labels:  fpga, usb
Symbiflow Examples
Example designs showing different ways to use SymbiFlow toolchains.
Stars: ✭ 71 (+42%)
Mutual labels:  fpga, verilog
Electron
A mixed signal netlist language (pre-alpha)
Stars: ✭ 52 (+4%)
Mutual labels:  fpga, verilog
Nyuziprocessor
GPGPU microprocessor architecture
Stars: ✭ 1,351 (+2602%)
Mutual labels:  fpga, verilog
Vgasim
A Video display simulator
Stars: ✭ 94 (+88%)
Mutual labels:  fpga, verilog
Wbuart32
A simple, basic, formally verified UART controller
Stars: ✭ 133 (+166%)
Mutual labels:  fpga, verilog
my hdmi device
New clean hdmi implementation for ulx3s, icestick, icoboard, arty7, colorlight i5 and blackicemx! With tmds encoding hacked down from dvi standard. Supports DDR and SRD tranfser!
Stars: ✭ 66 (+32%)
Mutual labels:  verilog, hdmi
Fake-News-Detection-Project
Fake News Detection Final Year College Project with Project Report, PPT, Code, Research Paper and Documents.
Stars: ✭ 16 (-68%)
Mutual labels:  university, projects
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (+234%)
Mutual labels:  fpga, verilog
vga-clock
Show the time on a VGA monitor. Submitted for the Google MPW1 ASIC shuttle.
Stars: ✭ 48 (-4%)
Mutual labels:  fpga, verilog
Connectal
Connectal is a framework for software-driven hardware development.
Stars: ✭ 117 (+134%)
Mutual labels:  fpga, verilog
Vm80a
i8080 precise replica in Verilog, based on reverse engineering of real die
Stars: ✭ 114 (+128%)
Mutual labels:  fpga, verilog
Openwifi
open-source IEEE 802.11 WiFi baseband FPGA (chip) design
Stars: ✭ 2,257 (+4414%)
Mutual labels:  fpga, verilog
Openfpgaduino
All open source file and project for OpenFPGAduino project
Stars: ✭ 137 (+174%)
Mutual labels:  fpga, verilog
Symbiflow Arch Defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Stars: ✭ 137 (+174%)
Mutual labels:  fpga, verilog
Wb2axip
Bus bridges and other odds and ends
Stars: ✭ 177 (+254%)
Mutual labels:  fpga, verilog
Fpga Chip8
CHIP-8 console on FPGA
Stars: ✭ 169 (+238%)
Mutual labels:  fpga, verilog
Verilog Generator Of Neural Net Digit Detector For Fpga
Verilog Generator of Neural Net Digit Detector for FPGA
Stars: ✭ 187 (+274%)
Mutual labels:  fpga, verilog
captouch
👇 Add capacitive touch buttons to any FPGA!
Stars: ✭ 96 (+92%)
Mutual labels:  fpga, vhdl
eddr3
mirror of https://git.elphel.com/Elphel/eddr3
Stars: ✭ 33 (-34%)
Mutual labels:  fpga, verilog
Convolution network on fpga
CNN acceleration on virtex-7 FPGA with verilog HDL
Stars: ✭ 236 (+372%)
Mutual labels:  fpga, verilog
yahdl
A programming language for FPGAs.
Stars: ✭ 20 (-60%)
Mutual labels:  fpga, verilog
ics-adpcm
Programmable multichannel ADPCM decoder for FPGA
Stars: ✭ 18 (-64%)
Mutual labels:  fpga, verilog
Icicle
32-bit RISC-V system on chip for iCE40 and ECP5 FPGAs
Stars: ✭ 234 (+368%)
Mutual labels:  fpga, risc-v
picorv32 Xilinx
A picorv32-riscv Soc with DMAC and Ethernet controller & lwip & Kirtex7@333MHz
Stars: ✭ 49 (-2%)
Mutual labels:  verilog, risc-v
Spi Fpga
SPI master and slave for FPGA written in VHDL
Stars: ✭ 50 (+0%)
Mutual labels:  fpga, vhdl
GSoC-Data-Analyser
Simple search for organisations participating/participated in the GSoC
Stars: ✭ 29 (-42%)
Mutual labels:  gsoc, gsoc-2017
symbolator
HDL symbol generator
Stars: ✭ 123 (+146%)
Mutual labels:  vhdl, verilog
yafpgatetris
Yet Another Tetris on FPGA Implementation
Stars: ✭ 29 (-42%)
Mutual labels:  fpga, verilog
FPGA ThreeLevelStorage
【原创,已被编入官方教材】Three-level storage subsystem(SD+DDR2 SDRAM+Cache), based on Nexys4 FPGA board. 同济大学计算机系统结构课程设计,FPGA三级存储子系统。
Stars: ✭ 86 (+72%)
Mutual labels:  fpga, verilog
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (-8%)
Mutual labels:  vhdl, verilog
cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
Stars: ✭ 157 (+214%)
Mutual labels:  fpga, verilog
QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
Stars: ✭ 51 (+2%)
Mutual labels:  fpga, vhdl
platform-lattice ice40
Lattice iCE40: development platform for PlatformIO
Stars: ✭ 34 (-32%)
Mutual labels:  fpga, verilog
wbi2c
Wishbone controlled I2C controllers
Stars: ✭ 25 (-50%)
Mutual labels:  fpga, verilog
fpga torture
🔥 Technology-agnostic FPGA stress-test: maximum logic utilization and high dynamic power consumption.
Stars: ✭ 23 (-54%)
Mutual labels:  fpga, vhdl
riscv-cores-list
RISC-V Cores, SoC platforms and SoCs
Stars: ✭ 651 (+1202%)
Mutual labels:  fpga, risc-v
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (-24%)
Mutual labels:  fpga, verilog
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-26%)
Mutual labels:  fpga, verilog
quasiSoC
No-MMU Linux capable RISC-V SoC designed to be useful.
Stars: ✭ 29 (-42%)
Mutual labels:  fpga, risc-v
BenEaterVHDL
VHDL project to run a simple 8-bit computer very similar to the one built by Ben Eater (see https://eater.net)
Stars: ✭ 30 (-40%)
Mutual labels:  fpga, vhdl
icebreaker-amaranth-examples
This repository contains iCEBreaker examples for Amaranth HDL.
Stars: ✭ 26 (-48%)
Mutual labels:  fpga, electronics
srv32
Simple 3-stage pipeline RISC-V processor
Stars: ✭ 88 (+76%)
Mutual labels:  verilog, risc-v
verilog-sid-mos6581
MOS6581 SID chip emulator in SystemVerilog
Stars: ✭ 22 (-56%)
Mutual labels:  fpga, verilog
FpOC
FPGA-based Field Oriented Control (FOC) for driving BLDC/PMSM motor.
Stars: ✭ 138 (+176%)
Mutual labels:  fpga, verilog
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (+66%)
Mutual labels:  fpga, verilog
LVDS-7-to-1-Serializer
An Verilog implementation of 7-to-1 LVDS Serializer. Which can be used for comunicating FPGAs with LVDS TFT Screens.
Stars: ✭ 33 (-34%)
Mutual labels:  fpga, verilog
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (+134%)
Mutual labels:  fpga, verilog
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (+4%)
Mutual labels:  fpga, verilog
vboard
Virtual development board for HDL design
Stars: ✭ 32 (-36%)
Mutual labels:  vhdl, verilog
pdp6
PDP-6 Emulator
Stars: ✭ 47 (-6%)
Mutual labels:  fpga, verilog
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (-46%)
Mutual labels:  fpga, vhdl
no2muacm
Drop In USB CDC ACM core for iCE40 FPGA
Stars: ✭ 26 (-48%)
Mutual labels:  fpga, usb
fpga-nn
NN on FPGA
Stars: ✭ 16 (-68%)
Mutual labels:  fpga, verilog
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-60%)
Mutual labels:  vhdl, verilog
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (+18%)
Mutual labels:  vhdl, verilog
FPGA RealTime and Static Sobel Edge Detection
Pipelined implementation of Sobel Edge Detection on OV7670 camera and on still images
Stars: ✭ 14 (-72%)
Mutual labels:  fpga, verilog
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (-36%)
Mutual labels:  vhdl, verilog
61-120 of 1339 similar projects