All Projects → vcdvcd → Similar Projects or Alternatives

345 Open source projects that are alternatives of or similar to vcdvcd

svut
SVUT is a simple framework to create Verilog/SystemVerilog unit tests. Just focus on your tests!
Stars: ✭ 48 (+41.18%)
Mutual labels:  verilog, vcd
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (+35.29%)
Mutual labels:  verilog, vcd
tree-core-ide
The next generation integrated development environment for processor design and verification. It has multi-hardware language support, open source IP management and easy-to-use rtl simulation toolset.
Stars: ✭ 79 (+132.35%)
Mutual labels:  verilog
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (+144.12%)
Mutual labels:  verilog
Basic verilog
Must-have verilog systemverilog modules
Stars: ✭ 247 (+626.47%)
Mutual labels:  verilog
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+855.88%)
Mutual labels:  verilog
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (+11.76%)
Mutual labels:  verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-50%)
Mutual labels:  verilog
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (+244.12%)
Mutual labels:  verilog
Spispy
An open source SPI flash emulator and monitor
Stars: ✭ 220 (+547.06%)
Mutual labels:  verilog
Opentimer
A High-performance Timing Analysis Tool for VLSI Systems
Stars: ✭ 213 (+526.47%)
Mutual labels:  verilog
Flute
RISC-V CPU, simple 5-stage in-order pipeline, for low-end applications needing MMUs and some performance
Stars: ✭ 210 (+517.65%)
Mutual labels:  verilog
Atalanta
Atalanta is a modified ATPG (Automatic Test Pattern Generation) tool and fault simulator, orginally from VirginiaTech University.
Stars: ✭ 49 (+44.12%)
Mutual labels:  verilog
Solutions-to-HDLbits-Verilog-sets
Here are my solutions to HDLbits Verilog problem sets (HDLbits: https://hdlbits.01xz.net/wiki/Main_Page).
Stars: ✭ 57 (+67.65%)
Mutual labels:  verilog
ggplotify
ggplot everything
Stars: ✭ 89 (+161.76%)
Mutual labels:  vcd
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (+5.88%)
Mutual labels:  verilog
picorv32 Xilinx
A picorv32-riscv Soc with DMAC and Ethernet controller & lwip & Kirtex7@333MHz
Stars: ✭ 49 (+44.12%)
Mutual labels:  verilog
PyChip-py-hcl
A Hardware Construct Language
Stars: ✭ 36 (+5.88%)
Mutual labels:  verilog
dbgbus
A collection of debugging busses developed and presented at zipcpu.com
Stars: ✭ 24 (-29.41%)
Mutual labels:  verilog
ARM9-compatible-soft-CPU-core
This ARMv4-compatible CPU core is written in synthesiable verilog.It could launch uCLinux and Linux in MODELSIM. It has high Dhrystone benchmark value: 1.2 DMIPS/MHz. It could be utilized in your FPGA design as one submodule, if you master the interface of this .v file. This IP core is very compact. It is one .v file and has only less 1800 lines.
Stars: ✭ 42 (+23.53%)
Mutual labels:  verilog
Fpga Litecoin Miner
A litecoin scrypt miner implemented with FPGA on-chip memory.
Stars: ✭ 245 (+620.59%)
Mutual labels:  verilog
platform-lattice ice40
Lattice iCE40: development platform for PlatformIO
Stars: ✭ 34 (+0%)
Mutual labels:  verilog
Fpu
synthesiseable ieee 754 floating point library in verilog
Stars: ✭ 214 (+529.41%)
Mutual labels:  verilog
srv32
Simple 3-stage pipeline RISC-V processor
Stars: ✭ 88 (+158.82%)
Mutual labels:  verilog
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (+511.76%)
Mutual labels:  verilog
yahdl
A programming language for FPGAs.
Stars: ✭ 20 (-41.18%)
Mutual labels:  verilog
Verilog 6502
A Verilog HDL model of the MOS 6502 CPU
Stars: ✭ 200 (+488.24%)
Mutual labels:  verilog
FPGA ThreeLevelStorage
【原创,已被编入官方教材】Three-level storage subsystem(SD+DDR2 SDRAM+Cache), based on Nexys4 FPGA board. 同济大学计算机系统结构课程设计,FPGA三级存储子系统。
Stars: ✭ 86 (+152.94%)
Mutual labels:  verilog
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (+8.82%)
Mutual labels:  verilog
vboard
Virtual development board for HDL design
Stars: ✭ 32 (-5.88%)
Mutual labels:  verilog
TinyGarble
TinyGarble: Logic Synthesis and Sequential Descriptions for Yao's Garbled Circuits
Stars: ✭ 108 (+217.65%)
Mutual labels:  verilog
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (+50%)
Mutual labels:  verilog
vga-clock
Show the time on a VGA monitor. Submitted for the Google MPW1 ASIC shuttle.
Stars: ✭ 48 (+41.18%)
Mutual labels:  verilog
Verilog-Gadget
🔧 Verilog plugin for Sublime Text 2/3. It helps to generate a simple testbench, instantiate a module, insert a user-header, repeat codes with formatted incremental/decremental numbers, etc.
Stars: ✭ 25 (-26.47%)
Mutual labels:  verilog
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (+391.18%)
Mutual labels:  verilog
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (+64.71%)
Mutual labels:  verilog
my hdmi device
New clean hdmi implementation for ulx3s, icestick, icoboard, arty7, colorlight i5 and blackicemx! With tmds encoding hacked down from dvi standard. Supports DDR and SRD tranfser!
Stars: ✭ 66 (+94.12%)
Mutual labels:  verilog
MobileNet-in-FPGA
Generator of verilog description for FPGA MobileNet implementation
Stars: ✭ 107 (+214.71%)
Mutual labels:  verilog
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+58.82%)
Mutual labels:  verilog
OpenROAD-flow-scripts
OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/
Stars: ✭ 124 (+264.71%)
Mutual labels:  verilog
COExperiment Repo
支持 45 条 MIPS 指令的单周期处理器 -- 计算机组成原理实验 NUAA Spring 2017
Stars: ✭ 23 (-32.35%)
Mutual labels:  verilog
fpga-nn
NN on FPGA
Stars: ✭ 16 (-52.94%)
Mutual labels:  verilog
Project Zipline
Defines a lossless compressed data format that is independent of CPU type, operating system, file system, and character set, and is suitable for compression using the XP10 algorithm.
Stars: ✭ 247 (+626.47%)
Mutual labels:  verilog
cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
Stars: ✭ 157 (+361.76%)
Mutual labels:  verilog
Convolution network on fpga
CNN acceleration on virtex-7 FPGA with verilog HDL
Stars: ✭ 236 (+594.12%)
Mutual labels:  verilog
LVDS-7-to-1-Serializer
An Verilog implementation of 7-to-1 LVDS Serializer. Which can be used for comunicating FPGAs with LVDS TFT Screens.
Stars: ✭ 33 (-2.94%)
Mutual labels:  verilog
Zet
Open source implementation of a x86 processor
Stars: ✭ 216 (+535.29%)
Mutual labels:  verilog
drec-fpga-intro
Materials for "Introduction to FPGA and Verilog" at MIPT DREC
Stars: ✭ 66 (+94.12%)
Mutual labels:  verilog
pdp6
PDP-6 Emulator
Stars: ✭ 47 (+38.24%)
Mutual labels:  verilog
Fpga nes
FPGA-based Nintendo Entertainment System Emulator
Stars: ✭ 199 (+485.29%)
Mutual labels:  verilog
Litepcie
Small footprint and configurable PCIe core
Stars: ✭ 206 (+505.88%)
Mutual labels:  verilog
ics-adpcm
Programmable multichannel ADPCM decoder for FPGA
Stars: ✭ 18 (-47.06%)
Mutual labels:  verilog
Red Pitaya Notes
Notes on the Red Pitaya Open Source Instrument
Stars: ✭ 205 (+502.94%)
Mutual labels:  verilog
verilog-sid-mos6581
MOS6581 SID chip emulator in SystemVerilog
Stars: ✭ 22 (-35.29%)
Mutual labels:  verilog
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-26.47%)
Mutual labels:  verilog
verifla
Fork of OpenVeriFla - FPGA debugging logic analyzer to use with your designs - examples (so far) for ice40/IceStorm
Stars: ✭ 21 (-38.24%)
Mutual labels:  verilog
vericert
A formally verified high-level synthesis tool based on CompCert and written in Coq.
Stars: ✭ 63 (+85.29%)
Mutual labels:  verilog
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (+52.94%)
Mutual labels:  verilog
FAST9-Accelerator
FAST-9 Accelerator for Corner Detection
Stars: ✭ 32 (-5.88%)
Mutual labels:  verilog
yafpgatetris
Yet Another Tetris on FPGA Implementation
Stars: ✭ 29 (-14.71%)
Mutual labels:  verilog
1-60 of 345 similar projects