Top 22 xilinx open source projects

Xilinx-ISE-Makefile
An example of how to use the Xilinx ISE toolchain from the command line
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
EBAZ4205
Vivado and PetaLinux projects for Zynq EBAZ4205 Board
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
PothosZynq
DMA source and sink blocks for Xilinx Zynq FPGAs
ResNet50-PYNQ
Quantized ResNet50 Dataflow Acceleration on Alveo, with PYNQ
vivado-docker
Dockerfile with Vivado for CI
zc pcie dma
DMA attacks over PCI Express based on Xilinx Zynq-7000 series SoC
xup compute acceleration
Hands-on experience using the Vitis unified software platform with Xilinx FPGA hardware
ezdma
Simple, zero-copy DMA to/from userspace.
fpga-docker
Tools for running FPGA vendor toolchains with Docker
1-22 of 22 xilinx projects