Top 626 Verilog open source projects

301. Verilog-Practice
HDLBits website practices & solutions
302. gb
The Original Nintendo Gameboy in Verilog
303. risc-v-core
This project was done as a part of RISC-V based MYTH (Microprocessor for you in Thirty Hours) workshop organized by Kunal Ghosh and Steve Hoover
304. litesdcard
No description, website, or topics provided.
305. apis anatolia
"Apis Anatolia" YouTube kanalında yayınlanan VHDL ve FPGA dersleri ile ilgili kodları içermektedir.
306. creek
A vector processor implemented in Chisel
308. ZYNQ-NVDLA
NVDLA (An Opensource DL Accelerator Framework) implementation on FPGA.
309. HEAT
Repo for code developed during the HEAT project (Homomorphic Encryption Applications Technology)
310. screen-pong
Pong game in a FPGA.
313. EDSAC
FPGA Verilog implementation of 1949 EDSAC Computer with animated tape reader, panel, teleprinter and CRT scope
314. avr
Reads a state transition system and performs property checking
315. fpga-md5-cracker
A 64-stage pipelined MD5 implementation written in verliog. Runs reliably on a DE0-Nano at 100mhz, computing 100 million hashes per second.
316. MIPS-pipeline-processor
A pipelined implementation of the MIPS processor featuring hazard detection as well as forwarding
317. EI332
SJTU EI332 CPU完整实验代码及报告
318. DFFRAM
Standard Cell Library based Memory Compiler using FF/Latch cells
319. microzed-custom-ip
Custom IP project for the MicroZed
320. torc
Torc: Tools for Open Reconfigurable Computing
321. mc6502
Cycle accurate MC6502 compatible processor in Verilog.
322. karabas-pro
FPGA based retrocomputer with FDD and HDD controllers
323. RFNoC-HLS-NeuralNet
No description, website, or topics provided.
324. bsg replicant
BSG Replicant: Cosimulation and Emulation Infrastructure for HammerBlade
325. SD-card-controller
WISHBONE SD Card Controller IP Core
326. math
SpinalHDL Hardware Math Library
327. nfmac10g
Open source 10 Gigabit Ethernet MAC core compatible with Xilinx's non-free 10GMAC
328. zybo petalinux video hls
Demonstration of a video processing design for the Digilent Zybo, using Web Camera for input and VGA interface for output.
329. mipsfpga-plus
MIPSfpga+ allows loading programs via UART and has a switchable clock
330. FPGA RealTime and Static Sobel Edge Detection
Pipelined implementation of Sobel Edge Detection on OV7670 camera and on still images
332. ACCL
Accelerated Collective Communication Library: MPI-like communication operations for Xilinx Alveo accelerators
333. descrypt-ztex-bruteforcer
descrypt-ztex-bruteforcer
✭ 15
VerilogCoq
334. VidorFPGA
repository for Vidor FPGA IP blocks and projects
335. peaberry
The Peaberry Software Defined Radio
336. arrowzip
A ZipCPU based demonstration of the MAX1000 FPGA board
338. fedar-f1-rv64im
5-Stage Pipelined RV64IM RISC-V CPU design in Verilog.
339. Verilaptor
No description, website, or topics provided.
340. amiga replacement project
This is an attempt to make clean Verilog sources for each chip on the Amiga.
341. AX309
No description, website, or topics provided.
342. neural-hardware
Verilog library for implementing neural networks.
✭ 21
Verilog
343. Hermes-Lite
Deprecated project!!! See Hermes-Lite2 at main web site
344. verifla
Fork of OpenVeriFla - FPGA debugging logic analyzer to use with your designs - examples (so far) for ice40/IceStorm
345. myelin-acorn-electron-hardware
Phillip Pearson's retro hardware projects for the Acorn Electron
348. MIPS54SP-Lifesaver
No description, website, or topics provided.
301-350 of 626 Verilog projects