All Projects → Cocotb → Similar Projects or Alternatives

1001 Open source projects that are alternatives of or similar to Cocotb

Awesome Open Hardware Verification
A List of Free and Open Source Hardware Verification Tools and Frameworks
Stars: ✭ 103 (-86.08%)
Mutual labels:  verilog, vhdl, verification
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (-79.86%)
Mutual labels:  verilog, verification
Degate
Open source software for chip reverse engineering.
Stars: ✭ 156 (-78.92%)
Mutual labels:  verilog, vhdl
Vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
Stars: ✭ 438 (-40.81%)
Mutual labels:  vhdl, verification
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (-56.08%)
Mutual labels:  vhdl, verilog
vericert
A formally verified high-level synthesis tool based on CompCert and written in Coq.
Stars: ✭ 63 (-91.49%)
Mutual labels:  verification, verilog
Ophidian
Ophidian's Mirror Repository on github. https://gitlab.com/eclufsc/eda/ophidian
Stars: ✭ 32 (-95.68%)
Mutual labels:  verilog, vhdl
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+40.68%)
Mutual labels:  verilog, vhdl
symbolator
HDL symbol generator
Stars: ✭ 123 (-83.38%)
Mutual labels:  vhdl, verilog
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-95.14%)
Mutual labels:  vhdl, verilog
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-97.3%)
Mutual labels:  vhdl, verilog
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-91.35%)
Mutual labels:  verilog, vhdl
Spinalhdl
Scala based HDL
Stars: ✭ 696 (-5.95%)
Mutual labels:  verilog, vhdl
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (-92.03%)
Mutual labels:  vhdl, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-97.7%)
Mutual labels:  vhdl, verilog
Osvvm
OSVVM Utility Library: AlertLogPkg, CoveragePkg, RandomPkg, ScoreboardGenericPkg, MemoryPkg, TbUtilPkg, TranscriptPkg, ...
Stars: ✭ 140 (-81.08%)
Mutual labels:  vhdl, verification
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+29.46%)
Mutual labels:  verilog, vhdl
Hdl checker
Repurposing existing HDL tools to help writing better code
Stars: ✭ 103 (-86.08%)
Mutual labels:  verilog, vhdl
ruby-vpi
Ruby interface to IEEE 1364-2005 Verilog VPI
Stars: ✭ 15 (-97.97%)
Mutual labels:  verification, verilog
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-96.62%)
Mutual labels:  vhdl, verilog
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (-93.78%)
Mutual labels:  vhdl, verilog
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (-95.68%)
Mutual labels:  vhdl, verilog
vboard
Virtual development board for HDL design
Stars: ✭ 32 (-95.68%)
Mutual labels:  vhdl, verilog
docker
Scripts to build and use docker images including GHDL
Stars: ✭ 27 (-96.35%)
Mutual labels:  vhdl, verilog
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (-80.41%)
Mutual labels:  vhdl, verilog
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (-63.51%)
Mutual labels:  verilog, vhdl
Microwatt
A tiny Open POWER ISA softcore written in VHDL 2008
Stars: ✭ 383 (-48.24%)
Mutual labels:  verilog, vhdl
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-97.97%)
Mutual labels:  vhdl, verilog
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-93.24%)
Mutual labels:  vhdl, verilog
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-95.81%)
Mutual labels:  verilog, vhdl
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (-92.7%)
Mutual labels:  vhdl, verilog
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (-84.19%)
Mutual labels:  verification, verilog
pcievhost
PCIe (1.0a to 2.0) Virtual host model for verilog
Stars: ✭ 22 (-97.03%)
Mutual labels:  verification, verilog
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-94.19%)
Mutual labels:  vhdl, verilog
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (-79.32%)
Mutual labels:  verilog, vhdl
fphdl
VHDL-2008 Support Library
Stars: ✭ 36 (-95.14%)
Mutual labels:  vhdl, verification
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (-63.24%)
Mutual labels:  verilog, verification
Awesome Hdl
Hardware Description Languages
Stars: ✭ 385 (-47.97%)
Mutual labels:  verilog, vhdl
Spring Guide
Spring 실전 가이드
Stars: ✭ 521 (-29.59%)
Mutual labels:  test
Oh
Verilog library for ASIC and FPGA designers
Stars: ✭ 585 (-20.95%)
Mutual labels:  verilog
Stresstestplatform
基于Jmeter实现的在线压测和管理Jmx的平台。
Stars: ✭ 515 (-30.41%)
Mutual labels:  test
Gplgpu
GPL v3 2D/3D graphics engine in verilog
Stars: ✭ 515 (-30.41%)
Mutual labels:  vhdl
Platformio Vscode Ide
PlatformIO IDE for VSCode: The next generation integrated development environment for IoT
Stars: ✭ 676 (-8.65%)
Mutual labels:  verilog
Haskell Hedgehog
Release with confidence, state-of-the-art property testing for Haskell.
Stars: ✭ 584 (-21.08%)
Mutual labels:  test
Ginkgo
BDD Testing Framework for Go
Stars: ✭ 5,346 (+622.43%)
Mutual labels:  test
Cryptominisat
An advanced SAT solver
Stars: ✭ 502 (-32.16%)
Mutual labels:  verification
Corundum
Open source, high performance, FPGA-based NIC
Stars: ✭ 577 (-22.03%)
Mutual labels:  verilog
Odrivehardware
High performance motor control
Stars: ✭ 498 (-32.7%)
Mutual labels:  verilog
Upash
🔒Unified API for password hashing algorithms
Stars: ✭ 484 (-34.59%)
Mutual labels:  verification
Verilog Ethernet
Verilog Ethernet components for FPGA implementation
Stars: ✭ 699 (-5.54%)
Mutual labels:  verilog
Faker
Faker is a pure Elixir library for generating fake data.
Stars: ✭ 673 (-9.05%)
Mutual labels:  test
Thea11ymachine
The A11y Machine is an automated accessibility testing tool which crawls and tests pages of any web application to produce detailed reports.
Stars: ✭ 574 (-22.43%)
Mutual labels:  test
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Stars: ✭ 475 (-35.81%)
Mutual labels:  verilog
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Stars: ✭ 466 (-37.03%)
Mutual labels:  verilog
Httptest
Qiniu httptest utilities
Stars: ✭ 571 (-22.84%)
Mutual labels:  test
Open Fpga Verilog Tutorial
Learn how to design digital systems and synthesize them into an FPGA using only opensource tools
Stars: ✭ 464 (-37.3%)
Mutual labels:  verilog
Smocker
Smocker is a simple and efficient HTTP mock server and proxy.
Stars: ✭ 465 (-37.16%)
Mutual labels:  test
Qa bible
Библия QA это почти 300 страниц обновляемой смеси ответов на вопросы с реальных собеседований на QA, полезных ресурсов и статей, перевода интересного контента с зарубежных ресурсов и агрегации материала с отечественных.
Stars: ✭ 657 (-11.22%)
Mutual labels:  test
Vue Testing Library
🦎 Simple and complete Vue.js testing utilities that encourage good testing practices.
Stars: ✭ 567 (-23.38%)
Mutual labels:  test
Gradle Test Logger Plugin
A Gradle plugin for printing beautiful logs on the console while running tests
Stars: ✭ 460 (-37.84%)
Mutual labels:  test
1-60 of 1001 similar projects