All Projects → Edalize → Similar Projects or Alternatives

1152 Open source projects that are alternatives of or similar to Edalize

xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-90.74%)
Mutual labels:  fpga, vhdl, eda, verilog, synthesis
docker
Scripts to build and use docker images including GHDL
Stars: ✭ 27 (-90%)
Mutual labels:  simulation, vhdl, verilog, synthesis
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (-79.26%)
Mutual labels:  fpga, simulation, vhdl, synthesis
Livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Stars: ✭ 110 (-59.26%)
Mutual labels:  verilog, fpga, synthesis, simulation
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Stars: ✭ 466 (+72.59%)
Mutual labels:  verilog, fpga, eda, synthesis
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (-56.67%)
Mutual labels:  fpga, verilog, synthesis
Higan Verilog
This is a higan/Verilator co-simulation example/framework
Stars: ✭ 35 (-87.04%)
Mutual labels:  verilog, fpga, simulation
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-86.67%)
Mutual labels:  fpga, vhdl, verilog
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+157.78%)
Mutual labels:  verilog, fpga, vhdl
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (-46.3%)
Mutual labels:  fpga, vhdl, verilog
Symbiflow Arch Defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Stars: ✭ 137 (-49.26%)
Mutual labels:  verilog, fpga, synthesis
Open Register Design Tool
Tool to generate register RTL, models, and docs using SystemRDL or JSpec input
Stars: ✭ 126 (-53.33%)
Mutual labels:  verilog, fpga, eda
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (-90%)
Mutual labels:  fpga, vhdl, synthesis
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+285.56%)
Mutual labels:  verilog, fpga, vhdl
Rggen
Code generation tool for configuration and status registers
Stars: ✭ 54 (-80%)
Mutual labels:  verilog, fpga, eda
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-94.44%)
Mutual labels:  fpga, vhdl, verilog
fphdl
VHDL-2008 Support Library
Stars: ✭ 36 (-86.67%)
Mutual labels:  simulation, vhdl, synthesis
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (-80%)
Mutual labels:  fpga, vhdl, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-93.7%)
Mutual labels:  fpga, vhdl, verilog
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+254.81%)
Mutual labels:  verilog, fpga, vhdl
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-88.52%)
Mutual labels:  verilog, fpga, vhdl
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-81.48%)
Mutual labels:  fpga, vhdl, verilog
Ophidian
Ophidian's Mirror Repository on github. https://gitlab.com/eclufsc/eda/ophidian
Stars: ✭ 32 (-88.15%)
Mutual labels:  verilog, vhdl, eda
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (-43.33%)
Mutual labels:  verilog, fpga, vhdl
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-76.3%)
Mutual labels:  verilog, fpga, vhdl
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+20.37%)
Mutual labels:  fpga, vhdl, verilog
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-84.07%)
Mutual labels:  fpga, vhdl, verilog
verilog-sid-mos6581
MOS6581 SID chip emulator in SystemVerilog
Stars: ✭ 22 (-91.85%)
Mutual labels:  fpga, verilog
vhdl-hdmi-out
HDMI Out VHDL code for 7-series Xilinx FPGAs
Stars: ✭ 36 (-86.67%)
Mutual labels:  fpga, vhdl
LVDS-7-to-1-Serializer
An Verilog implementation of 7-to-1 LVDS Serializer. Which can be used for comunicating FPGAs with LVDS TFT Screens.
Stars: ✭ 33 (-87.78%)
Mutual labels:  fpga, verilog
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-86.3%)
Mutual labels:  fpga, verilog
MobileNet-in-FPGA
Generator of verilog description for FPGA MobileNet implementation
Stars: ✭ 107 (-60.37%)
Mutual labels:  fpga, verilog
spydrnet
A flexible framework for analyzing and transforming FPGA netlists. Official repository.
Stars: ✭ 49 (-81.85%)
Mutual labels:  fpga, eda
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (-80.74%)
Mutual labels:  fpga, verilog
vboard
Virtual development board for HDL design
Stars: ✭ 32 (-88.15%)
Mutual labels:  vhdl, verilog
pdp6
PDP-6 Emulator
Stars: ✭ 47 (-82.59%)
Mutual labels:  fpga, verilog
BenEaterVHDL
VHDL project to run a simple 8-bit computer very similar to the one built by Ben Eater (see https://eater.net)
Stars: ✭ 30 (-88.89%)
Mutual labels:  fpga, vhdl
verifla
Fork of OpenVeriFla - FPGA debugging logic analyzer to use with your designs - examples (so far) for ice40/IceStorm
Stars: ✭ 21 (-92.22%)
Mutual labels:  fpga, verilog
fpga-nn
NN on FPGA
Stars: ✭ 16 (-94.07%)
Mutual labels:  fpga, verilog
yarvi
Yet Another RISC-V Implementation
Stars: ✭ 59 (-78.15%)
Mutual labels:  fpga, verilog
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (-88.15%)
Mutual labels:  vhdl, verilog
FPGA RealTime and Static Sobel Edge Detection
Pipelined implementation of Sobel Edge Detection on OV7670 camera and on still images
Stars: ✭ 14 (-94.81%)
Mutual labels:  fpga, verilog
simple-riscv
A simple three-stage RISC-V CPU
Stars: ✭ 14 (-94.81%)
Mutual labels:  fpga, vhdl
EDSAC
FPGA Verilog implementation of 1949 EDSAC Computer with animated tape reader, panel, teleprinter and CRT scope
Stars: ✭ 28 (-89.63%)
Mutual labels:  fpga, verilog
yavhdl
Yet Another VHDL tool
Stars: ✭ 29 (-89.26%)
Mutual labels:  vhdl, eda
PeakRDL-ipxact
Import and export IP-XACT XML register models
Stars: ✭ 21 (-92.22%)
Mutual labels:  fpga, eda
ice-chips-verilog
IceChips is a library of all common discrete logic devices in Verilog
Stars: ✭ 78 (-71.11%)
Mutual labels:  fpga, eda
karuta
Karuta HLS Compiler: High level synthesis from prototype based object oriented script language to RTL (Verilog) aiming to be useful for FPGA development.
Stars: ✭ 89 (-67.04%)
Mutual labels:  fpga, verilog
noasic
An open-source VHDL library for FPGA design.
Stars: ✭ 27 (-90%)
Mutual labels:  fpga, vhdl
Fake-SDcard
Imitate SDcard using FPGAs.
Stars: ✭ 26 (-90.37%)
Mutual labels:  fpga, verilog
symbolator
HDL symbol generator
Stars: ✭ 123 (-54.44%)
Mutual labels:  vhdl, verilog
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (-81.11%)
Mutual labels:  fpga, verilog
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (-78.15%)
Mutual labels:  vhdl, verilog
ZYNQ-NVDLA
NVDLA (An Opensource DL Accelerator Framework) implementation on FPGA.
Stars: ✭ 144 (-46.67%)
Mutual labels:  fpga, verilog
FpOC
FPGA-based Field Oriented Control (FOC) for driving BLDC/PMSM motor.
Stars: ✭ 138 (-48.89%)
Mutual labels:  fpga, verilog
eddr3
mirror of https://git.elphel.com/Elphel/eddr3
Stars: ✭ 33 (-87.78%)
Mutual labels:  fpga, verilog
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-92.59%)
Mutual labels:  vhdl, verilog
ruby-vpi
Ruby interface to IEEE 1364-2005 Verilog VPI
Stars: ✭ 15 (-94.44%)
Mutual labels:  simulation, verilog
dblclockfft
A configurable C++ generator of pipelined Verilog FFT cores
Stars: ✭ 147 (-45.56%)
Mutual labels:  fpga, verilog
QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
Stars: ✭ 51 (-81.11%)
Mutual labels:  fpga, vhdl
1-60 of 1152 similar projects