All Projects → Hdl_checker → Similar Projects or Alternatives

545 Open source projects that are alternatives of or similar to Hdl_checker

Awesome Open Hardware Verification
A List of Free and Open Source Hardware Verification Tools and Frameworks
Stars: ✭ 103 (+0%)
Mutual labels:  verilog, vhdl
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+48.54%)
Mutual labels:  verilog, vhdl
Rust hdl
Stars: ✭ 120 (+16.5%)
Mutual labels:  vhdl, language-server
vboard
Virtual development board for HDL design
Stars: ✭ 32 (-68.93%)
Mutual labels:  vhdl, verilog
docker
Scripts to build and use docker images including GHDL
Stars: ✭ 27 (-73.79%)
Mutual labels:  vhdl, verilog
Svls
SystemVerilog language server
Stars: ✭ 119 (+15.53%)
Mutual labels:  verilog, language-server
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (-47.57%)
Mutual labels:  vhdl, verilog
Awesome Hdl
Hardware Description Languages
Stars: ✭ 385 (+273.79%)
Mutual labels:  verilog, vhdl
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (-42.72%)
Mutual labels:  vhdl, verilog
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-51.46%)
Mutual labels:  vhdl, verilog
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-75.73%)
Mutual labels:  vhdl, verilog
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-37.86%)
Mutual labels:  verilog, vhdl
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-83.5%)
Mutual labels:  vhdl, verilog
Microwatt
A tiny Open POWER ISA softcore written in VHDL 2008
Stars: ✭ 383 (+271.84%)
Mutual labels:  verilog, vhdl
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (+40.78%)
Mutual labels:  vhdl, verilog
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-80.58%)
Mutual labels:  vhdl, verilog
symbolator
HDL symbol generator
Stars: ✭ 123 (+19.42%)
Mutual labels:  vhdl, verilog
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+162.14%)
Mutual labels:  verilog, vhdl
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+830.1%)
Mutual labels:  verilog, vhdl
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (-55.34%)
Mutual labels:  vhdl, verilog
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-65.05%)
Mutual labels:  vhdl, verilog
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-85.44%)
Mutual labels:  vhdl, verilog
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (-68.93%)
Mutual labels:  vhdl, verilog
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+575.73%)
Mutual labels:  verilog, vhdl
Cocotb
cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python
Stars: ✭ 740 (+618.45%)
Mutual labels:  verilog, vhdl
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+215.53%)
Mutual labels:  vhdl, verilog
Ophidian
Ophidian's Mirror Repository on github. https://gitlab.com/eclufsc/eda/ophidian
Stars: ✭ 32 (-68.93%)
Mutual labels:  verilog, vhdl
Degate
Open source software for chip reverse engineering.
Stars: ✭ 156 (+51.46%)
Mutual labels:  verilog, vhdl
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-58.25%)
Mutual labels:  vhdl, verilog
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-69.9%)
Mutual labels:  verilog, vhdl
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+910.68%)
Mutual labels:  verilog, vhdl
Ghdl
VHDL 2008/93/87 simulator
Stars: ✭ 1,285 (+1147.57%)
Mutual labels:  vhdl
Kamikaze
Light-weight RISC-V RV32IMC microcontroller core.
Stars: ✭ 94 (-8.74%)
Mutual labels:  verilog
Patmos
Patmos is a time-predictable VLIW processor, and the processor for the T-CREST project
Stars: ✭ 85 (-17.48%)
Mutual labels:  vhdl
Greta
GRETA expansion board for the Amiga 500 computer with Fast RAM, microSD mass storage and Ethernet controller, powered by FPGA technology.
Stars: ✭ 84 (-18.45%)
Mutual labels:  vhdl
Hdmi2usb Jahanzeb Firmware
Original hand-coded firmware for the HDMI2USB - HDMI/DVI Capture - project
Stars: ✭ 100 (-2.91%)
Mutual labels:  vhdl
Vgasim
A Video display simulator
Stars: ✭ 94 (-8.74%)
Mutual labels:  verilog
Pynq Dl
Xilinx Deep Learning IP
Stars: ✭ 84 (-18.45%)
Mutual labels:  vhdl
Wujian100 open
IC design and development should be faster,simpler and more reliable
Stars: ✭ 1,252 (+1115.53%)
Mutual labels:  verilog
Mips32 Cpu
奋战一学期,造台计算机(编译出的bit文件在release中,可以直接食用)
Stars: ✭ 94 (-8.74%)
Mutual labels:  verilog
Xilinx Serial Miner
Bitcoin miner for Xilinx FPGAs
Stars: ✭ 83 (-19.42%)
Mutual labels:  verilog
Vsdflow
VSDFLOW is an automated solution to programmers, hobbyists and small scale semiconductor technology entrepreneurs who can craft their ideas in RTL language, and convert the design to hardware using VSD (RTL-to-GDS) FLOW. VSDFLOW is completely build using OPHW tools, where the user gives input RTL in verilog. From here on the VSDFLOW takes control, RTL is synthesized (using Yosys). The synthesized netlist is given to PNR tool (Qflow) and finally Sign-off is done with STA tool (using Opentimer). The output of the flow is GDSII layout and performance & area metrics of your design. VSDFLOW also provide hooks at all stages for users working at different levels of design flow. It is tested for 30k instance count design like ARM Cortex-M0, and can be further tested for multi-million instance count using hierarchical or glue logic.
Stars: ✭ 82 (-20.39%)
Mutual labels:  verilog
Spatial Lang
Spatial: "Specify Parameterized Accelerators Through Inordinately Abstract Language"
Stars: ✭ 99 (-3.88%)
Mutual labels:  verilog
Promql Langserver
PromQL language server
Stars: ✭ 94 (-8.74%)
Mutual labels:  language-server
Solargraph
A Ruby language server.
Stars: ✭ 1,239 (+1102.91%)
Mutual labels:  language-server
Ponylink
A single-wire bi-directional chip-to-chip interface for FPGAs
Stars: ✭ 80 (-22.33%)
Mutual labels:  verilog
Ensime Server
ENSIME JVM Process
Stars: ✭ 1,323 (+1184.47%)
Mutual labels:  language-server
Cpu
A very primitive but hopefully self-educational CPU in Verilog
Stars: ✭ 80 (-22.33%)
Mutual labels:  verilog
Homotopy
Homotopy theory in Coq.
Stars: ✭ 79 (-23.3%)
Mutual labels:  verilog
Panologic G2
Pano Logic G2 Reverse Engineering Project
Stars: ✭ 99 (-3.88%)
Mutual labels:  verilog
Radioberry 2.x
Ham Radio hat for Raspberry PI
Stars: ✭ 92 (-10.68%)
Mutual labels:  verilog
C65gs
FPGA-based C64 Accelerator / C65 like computer
Stars: ✭ 79 (-23.3%)
Mutual labels:  verilog
Toooba
RISC-V Core; superscalar, out-of-order, multi-core capable; based on RISCY-OOO from MIT
Stars: ✭ 79 (-23.3%)
Mutual labels:  verilog
Icestation 32
Compact FPGA game console
Stars: ✭ 93 (-9.71%)
Mutual labels:  verilog
Tenkawa Php Language Server
Language server for PHP, with powerful static analysis and type inference.
Stars: ✭ 78 (-24.27%)
Mutual labels:  language-server
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (-25.24%)
Mutual labels:  verilog
Fft Dit Fpga
Verilog module for calculation of FFT.
Stars: ✭ 104 (+0.97%)
Mutual labels:  verilog
Svlint
SystemVerilog linter
Stars: ✭ 103 (+0%)
Mutual labels:  verilog
Nyuziprocessor
GPGPU microprocessor architecture
Stars: ✭ 1,351 (+1211.65%)
Mutual labels:  verilog
Cores Swervolf
FuseSoC-based SoC for SweRV EH1
Stars: ✭ 92 (-10.68%)
Mutual labels:  verilog
1-60 of 545 similar projects