All Projects → Darkriscv → Similar Projects or Alternatives

1090 Open source projects that are alternatives of or similar to Darkriscv

Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (-92.75%)
Mutual labels:  verilog, fpga, cpu, risc-v, riscv, rtl
Cores Swerv
SweRV EH1 core
Stars: ✭ 406 (-61.77%)
Mutual labels:  fpga, risc-v, riscv, processor, rtl
Neorv32
A small and customizable full-scale 32-bit RISC-V soft-core CPU and SoC written in platform-independent VHDL.
Stars: ✭ 106 (-90.02%)
Mutual labels:  fpga, cpu, risc-v, riscv, processor
Cores Swerv El2
SweRV EL2 Core
Stars: ✭ 79 (-92.56%)
Mutual labels:  fpga, risc-v, riscv, processor, rtl
Scr1
SCR1 is a high-quality open-source RISC-V MCU core in Verilog
Stars: ✭ 393 (-62.99%)
Mutual labels:  verilog, risc-v, riscv, core, rtl
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (-80.41%)
Mutual labels:  verilog, fpga, cpu, risc-v
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (-84.27%)
Mutual labels:  cpu, fpga, riscv, verilog
E200 opensource
This repository hosts the project for open-source hummingbird E203 RISC processor Core.
Stars: ✭ 1,909 (+79.76%)
Mutual labels:  verilog, cpu, risc-v, core
Riscv Rust
RISC-V processor emulator written in Rust+WASM
Stars: ✭ 253 (-76.18%)
Mutual labels:  cpu, risc-v, riscv, processor
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (-74.39%)
Mutual labels:  verilog, fpga, cpu, risc-v
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (-1.98%)
Mutual labels:  verilog, fpga, cpu, riscv
yarvi
Yet Another RISC-V Implementation
Stars: ✭ 59 (-94.44%)
Mutual labels:  fpga, riscv, verilog, risc-v
tree-core-cpu
A series of RISC-V soft core processor written from scratch. Now, we're using all open-source toolchain( chisel, mill, verilator, NEMU, AM and difftest framework, etc) to design and verify.
Stars: ✭ 22 (-97.93%)
Mutual labels:  cpu, processor, riscv, rtl
Hrm Cpu
Human Resource Machine - CPU Design #HRM
Stars: ✭ 43 (-95.95%)
Mutual labels:  verilog, fpga, cpu
Cva6
The CORE-V CVA6 is an Application class 6-stage RISC-V CPU capable of booting Linux
Stars: ✭ 1,144 (+7.72%)
Mutual labels:  fpga, cpu, riscv
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (-74.48%)
Mutual labels:  verilog, fpga, rtl
Openpiton
The OpenPiton Platform
Stars: ✭ 282 (-73.45%)
Mutual labels:  verilog, fpga, processor
Spinalhdl
Scala based HDL
Stars: ✭ 696 (-34.46%)
Mutual labels:  verilog, fpga, rtl
Forth Cpu
A Forth CPU and System on a Chip, based on the J1, written in VHDL
Stars: ✭ 244 (-77.02%)
Mutual labels:  fpga, cpu, processor
Rggen
Code generation tool for configuration and status registers
Stars: ✭ 54 (-94.92%)
Mutual labels:  verilog, fpga, rtl
Tang e203 mini
LicheeTang 蜂鸟E203 Core
Stars: ✭ 135 (-87.29%)
Mutual labels:  verilog, fpga, risc-v
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (-85.97%)
Mutual labels:  verilog, fpga, rtl
Verilog
Repository for basic (and not so basic) Verilog blocks with high re-use potential
Stars: ✭ 296 (-72.13%)
Mutual labels:  verilog, fpga, rtl
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-98.4%)
Mutual labels:  fpga, rtl, verilog
Fpga101 Workshop
FPGA 101 - Workshop materials
Stars: ✭ 54 (-94.92%)
Mutual labels:  verilog, fpga, riscv
Fpga readings
Recipe for FPGA cooking
Stars: ✭ 164 (-84.56%)
Mutual labels:  verilog, fpga, rtl
tree-core-ide
The next generation integrated development environment for processor design and verification. It has multi-hardware language support, open source IP management and easy-to-use rtl simulation toolset.
Stars: ✭ 79 (-92.56%)
Mutual labels:  processor, riscv, verilog
vga-clock
Show the time on a VGA monitor. Submitted for the Google MPW1 ASIC shuttle.
Stars: ✭ 48 (-95.48%)
Mutual labels:  fpga, rtl, verilog
Riscv Fs
F# RISC-V Instruction Set formal specification
Stars: ✭ 173 (-83.71%)
Mutual labels:  cpu, risc-v, riscv
Fake-SDcard
Imitate SDcard using FPGAs.
Stars: ✭ 26 (-97.55%)
Mutual labels:  fpga, rtl, verilog
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-95.29%)
Mutual labels:  fpga, verilog, risc-v
Riscboy
Portable games console, designed from scratch: CPU, graphics, PCB, and the kitchen sink
Stars: ✭ 103 (-90.3%)
Mutual labels:  fpga, cpu, risc-v
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (-95.2%)
Mutual labels:  fpga, verilog, risc-v
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-96.52%)
Mutual labels:  fpga, rtl, verilog
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-96.61%)
Mutual labels:  fpga, rtl, verilog
Icestation 32
Compact FPGA game console
Stars: ✭ 93 (-91.24%)
Mutual labels:  verilog, fpga, risc-v
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-93.97%)
Mutual labels:  verilog, fpga, cpu
Chipyard
An Agile RISC-V SoC Design Framework with in-order cores, out-of-order cores, accelerators, and more
Stars: ✭ 436 (-58.95%)
Mutual labels:  risc-v, riscv, rtl
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (-95.1%)
Mutual labels:  fpga, rtl, verilog
KyogenRV
The Simple 5-staged pipeline RISC-V written in chisel3 for intel FPGA.
Stars: ✭ 37 (-96.52%)
Mutual labels:  fpga, riscv, risc-v
arv
ARV: Asynchronous RISC-V Go High-level Functional Model
Stars: ✭ 18 (-98.31%)
Mutual labels:  processor, riscv, risc-v
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+421.56%)
Mutual labels:  verilog, fpga, risc-v
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (-96.42%)
Mutual labels:  fpga, rtl, verilog
cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
Stars: ✭ 157 (-85.22%)
Mutual labels:  fpga, rtl, verilog
drec-fpga-intro
Materials for "Introduction to FPGA and Verilog" at MIPT DREC
Stars: ✭ 66 (-93.79%)
Mutual labels:  fpga, verilog, risc-v
Mips Cpu
A MIPS CPU implemented in Verilog
Stars: ✭ 38 (-96.42%)
Mutual labels:  verilog, fpga, cpu
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (-86.35%)
Mutual labels:  fpga, rtl, verilog
FPGA-USB-Device
FPGA-based USB-device controller to implement USB-CDC, USB-HID, etc.
Stars: ✭ 29 (-97.27%)
Mutual labels:  fpga, rtl, verilog
yatcpu
Yet another toy CPU.
Stars: ✭ 42 (-96.05%)
Mutual labels:  cpu, riscv, risc-v
Quasar
Quasar 2.0: Chisel equivalent of SweRV-EL2
Stars: ✭ 19 (-98.21%)
Mutual labels:  processor, riscv, rtl
Zipcpu
A small, light weight, RISC CPU soft core
Stars: ✭ 640 (-39.74%)
Mutual labels:  verilog, fpga, cpu
FPGACosmacELF
A re-creation of a Cosmac ELF computer, Coded in SpinalHDL
Stars: ✭ 31 (-97.08%)
Mutual labels:  cpu, fpga, processor
Fuxi
Fuxi (伏羲) is a 32-bit pipelined RISC-V processor written in Chisel3.
Stars: ✭ 68 (-93.6%)
Mutual labels:  cpu, fpga, riscv
super-miyamoto-sprint
Homebrew game for homebrew FPGA game console
Stars: ✭ 48 (-95.48%)
Mutual labels:  fpga, verilog, risc-v
blarney
Haskell library for hardware description
Stars: ✭ 81 (-92.37%)
Mutual labels:  fpga, rtl, verilog
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (-74.58%)
Mutual labels:  verilog, fpga
Maxine Vm
Maxine VM: A meta-circular research VM
Stars: ✭ 274 (-74.2%)
Mutual labels:  risc-v, riscv
Openroad
OpenROAD's unified application implementing an RTL-to-GDS Flow
Stars: ✭ 270 (-74.58%)
Mutual labels:  verilog, rtl
Icezum
🌟 IceZUM Alhambra: an Arduino-like Open FPGA electronic board
Stars: ✭ 280 (-73.63%)
Mutual labels:  verilog, fpga
Shecc
A self-hosting and educational C compiler
Stars: ✭ 286 (-73.07%)
Mutual labels:  risc-v, riscv
1-60 of 1090 similar projects