All Projects → docker → Similar Projects or Alternatives

1861 Open source projects that are alternatives of or similar to docker

formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (+18.52%)
Mutual labels:  vhdl, verilog, ghdl, yosys
fphdl
VHDL-2008 Support Library
Stars: ✭ 36 (+33.33%)
Mutual labels:  hardware, simulation, vhdl, synthesis
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+900%)
Mutual labels:  simulation, vhdl, verilog, synthesis
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-7.41%)
Mutual labels:  hardware, vhdl, verilog, synthesis
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (+107.41%)
Mutual labels:  simulation, vhdl, synthesis, ghdl
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-25.93%)
Mutual labels:  vhdl, verilog, testbench
Awesome Open Hardware Verification
A List of Free and Open Source Hardware Verification Tools and Frameworks
Stars: ✭ 103 (+281.48%)
Mutual labels:  hardware, vhdl, verilog
Livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Stars: ✭ 110 (+307.41%)
Mutual labels:  simulation, verilog, synthesis
vboard
Virtual development board for HDL design
Stars: ✭ 32 (+18.52%)
Mutual labels:  vhdl, verilog, ghdl
Neorv32
A small and customizable full-scale 32-bit RISC-V soft-core CPU and SoC written in platform-independent VHDL.
Stars: ✭ 106 (+292.59%)
Mutual labels:  hardware, vhdl
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+100%)
Mutual labels:  vhdl, verilog
tip
GitHub Action to keep a 'tip' pre-release always up-to-date
Stars: ✭ 18 (-33.33%)
Mutual labels:  ci, actions
Ghdl
VHDL 2008/93/87 simulator
Stars: ✭ 1,285 (+4659.26%)
Mutual labels:  hardware, vhdl
Nyuziprocessor
GPGPU microprocessor architecture
Stars: ✭ 1,351 (+4903.7%)
Mutual labels:  hardware, verilog
Unimidi
Realtime MIDI IO for Ruby
Stars: ✭ 229 (+748.15%)
Mutual labels:  hardware, synthesis
Connectal
Connectal is a framework for software-driven hardware development.
Stars: ✭ 117 (+333.33%)
Mutual labels:  hardware, verilog
qodana-action
⚙️ Scan your Java, Kotlin, PHP, Python, JavaScript, TypeScript projects at GitHub with Qodana
Stars: ✭ 112 (+314.81%)
Mutual labels:  ci, actions
platform-lattice ice40
Lattice iCE40: development platform for PlatformIO
Stars: ✭ 34 (+25.93%)
Mutual labels:  verilog, icestorm
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (+107.41%)
Mutual labels:  verilog, gtkwave
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (+333.33%)
Mutual labels:  verilog, synthesis
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+1103.7%)
Mutual labels:  vhdl, verilog
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (+88.89%)
Mutual labels:  verilog, icestorm
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (+33.33%)
Mutual labels:  vhdl, verilog
intelirest-cli
A cli interpreter for intelliJ .http files
Stars: ✭ 23 (-14.81%)
Mutual labels:  ci, actions
CI-Report-Converter
The tool converts different error reporting standards for deep compatibility with popular CI systems (TeamCity, IntelliJ IDEA, GitHub Actions, etc).
Stars: ✭ 17 (-37.04%)
Mutual labels:  ci, actions
branch-protection-bot
A bot tool to disable and re-enable "Include administrators" option in branch protection
Stars: ✭ 57 (+111.11%)
Mutual labels:  ci, actions
symbolator
HDL symbol generator
Stars: ✭ 123 (+355.56%)
Mutual labels:  vhdl, verilog
Gohci
Go on Hardware CI: 100% free open source CI to run on RPis, macOS and Windows
Stars: ✭ 79 (+192.59%)
Mutual labels:  hardware, ci
Haddoc2
Caffe to VHDL
Stars: ✭ 57 (+111.11%)
Mutual labels:  hardware, vhdl
Platformio Vscode Ide
PlatformIO IDE for VSCode: The next generation integrated development environment for IoT
Stars: ✭ 676 (+2403.7%)
Mutual labels:  hardware, verilog
Space Invaders Vhdl
Space Invaders game implemented with VHDL
Stars: ✭ 142 (+425.93%)
Mutual labels:  hardware, vhdl
Openfpgaduino
All open source file and project for OpenFPGAduino project
Stars: ✭ 137 (+407.41%)
Mutual labels:  hardware, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-37.04%)
Mutual labels:  vhdl, verilog
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Stars: ✭ 475 (+1659.26%)
Mutual labels:  hardware, verilog
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (+70.37%)
Mutual labels:  vhdl, verilog
release-helper
🤖 A GitHub Action that help you publish release.
Stars: ✭ 27 (+0%)
Mutual labels:  ci, actions
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (+207.41%)
Mutual labels:  hardware, verilog
svut
SVUT is a simple framework to create Verilog/SystemVerilog unit tests. Just focus on your tests!
Stars: ✭ 48 (+77.78%)
Mutual labels:  verilog, gtkwave
changed-files
Github action to retrieve all (added, copied, modified, deleted, renamed, type changed, unmerged, unknown) files and directories.
Stars: ✭ 733 (+2614.81%)
Mutual labels:  ci, actions
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (+37.04%)
Mutual labels:  verilog, yosys
unity-test-runner
Run tests for any Unity project
Stars: ✭ 134 (+396.3%)
Mutual labels:  ci, actions
Firesim
FireSim: Easy-to-use, Scalable, FPGA-accelerated Cycle-accurate Hardware Simulation in the Cloud
Stars: ✭ 415 (+1437.04%)
Mutual labels:  hardware, simulation
up5k
Upduino v2 with the ice40 up5k FPGA demos
Stars: ✭ 65 (+140.74%)
Mutual labels:  icestorm, nextpnr
action-junit-report
Reports junit test results as GitHub Pull Request Check
Stars: ✭ 103 (+281.48%)
Mutual labels:  ci, actions
iceskate
A low cost FPGA development board for absolute newbies
Stars: ✭ 15 (-44.44%)
Mutual labels:  hardware, icestorm
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (+118.52%)
Mutual labels:  vhdl, verilog
xray-action
... a GitHub action to import test results into "Xray" - A complete Test Management tool for Jira.
Stars: ✭ 16 (-40.74%)
Mutual labels:  ci, actions
verifla
Fork of OpenVeriFla - FPGA debugging logic analyzer to use with your designs - examples (so far) for ice40/IceStorm
Stars: ✭ 21 (-22.22%)
Mutual labels:  verilog, icestorm
github-create-release-action
Create a GitHub release from a Tag
Stars: ✭ 33 (+22.22%)
Mutual labels:  ci, actions
vcd
VCD file (Value Change Dump) command line viewer
Stars: ✭ 40 (+48.15%)
Mutual labels:  vhdl, gtkwave
OpenLane
OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen, Fault and custom methodology scripts for design exploration and optimization.
Stars: ✭ 548 (+1929.63%)
Mutual labels:  verilog, yosys
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (+0%)
Mutual labels:  vhdl, synthesis
pyarch
🔌 Hardware Abstraction Library in Python
Stars: ✭ 15 (-44.44%)
Mutual labels:  hardware, vhdl
shapool-core
FPGA core for SHA256d mining targeting Lattice iCE40 devices.
Stars: ✭ 19 (-29.63%)
Mutual labels:  verilog, icestorm
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-44.44%)
Mutual labels:  vhdl, verilog
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (+85.19%)
Mutual labels:  vhdl, verilog
Verilog
Repository for basic (and not so basic) Verilog blocks with high re-use potential
Stars: ✭ 296 (+996.3%)
Mutual labels:  hardware, verilog
Cascade
A Just-In-Time Compiler for Verilog from VMware Research
Stars: ✭ 413 (+1429.63%)
Mutual labels:  hardware, verilog
jekyll-deploy-action
🪂 A Github Action to deploy the Jekyll site conveniently for GitHub Pages.
Stars: ✭ 162 (+500%)
Mutual labels:  ci, actions
ruby-vpi
Ruby interface to IEEE 1364-2005 Verilog VPI
Stars: ✭ 15 (-44.44%)
Mutual labels:  simulation, verilog
1-60 of 1861 similar projects