All Projects → E200_opensource → Similar Projects or Alternatives

901 Open source projects that are alternatives of or similar to E200_opensource

Darkriscv
opensouce RISC-V cpu core implemented in Verilog from scratch in one night!
Stars: ✭ 1,062 (-44.37%)
Mutual labels:  verilog, cpu, risc-v, core
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (-89.1%)
Mutual labels:  verilog, cpu, risc-v
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (-85.75%)
Mutual labels:  verilog, cpu, risc-v
Scr1
SCR1 is a high-quality open-source RISC-V MCU core in Verilog
Stars: ✭ 393 (-79.41%)
Mutual labels:  verilog, risc-v, core
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (-95.97%)
Mutual labels:  verilog, cpu, risc-v
Tang e203 mini
LicheeTang 蜂鸟E203 Core
Stars: ✭ 135 (-92.93%)
Mutual labels:  verilog, risc-v
Zipcpu
A small, light weight, RISC CPU soft core
Stars: ✭ 640 (-66.47%)
Mutual labels:  verilog, cpu
ARM9-compatible-soft-CPU-core
This ARMv4-compatible CPU core is written in synthesiable verilog.It could launch uCLinux and Linux in MODELSIM. It has high Dhrystone benchmark value: 1.2 DMIPS/MHz. It could be utilized in your FPGA design as one submodule, if you master the interface of this .v file. This IP core is very compact. It is one .v file and has only less 1800 lines.
Stars: ✭ 42 (-97.8%)
Mutual labels:  cpu, verilog
Mipt Mips
Cycle-accurate pre-silicon simulator of RISC-V and MIPS CPUs
Stars: ✭ 250 (-86.9%)
Mutual labels:  cpu, risc-v
Home
为推广RISC-V尽些薄力
Stars: ✭ 226 (-88.16%)
Mutual labels:  china, risc-v
drec-fpga-intro
Materials for "Introduction to FPGA and Verilog" at MIPT DREC
Stars: ✭ 66 (-96.54%)
Mutual labels:  verilog, risc-v
srv32
Simple 3-stage pipeline RISC-V processor
Stars: ✭ 88 (-95.39%)
Mutual labels:  verilog, risc-v
Hrm Cpu
Human Resource Machine - CPU Design #HRM
Stars: ✭ 43 (-97.75%)
Mutual labels:  verilog, cpu
CSCvon8
A crazy small 8-bit CPU built with only seventeen 7400-series chips.
Stars: ✭ 86 (-95.5%)
Mutual labels:  cpu, verilog
yatcpu
Yet another toy CPU.
Stars: ✭ 42 (-97.8%)
Mutual labels:  cpu, risc-v
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-97.38%)
Mutual labels:  verilog, risc-v
Rv12
RISC-V CPU Core
Stars: ✭ 162 (-91.51%)
Mutual labels:  cpu, risc-v
Riscv Fs
F# RISC-V Instruction Set formal specification
Stars: ✭ 173 (-90.94%)
Mutual labels:  cpu, risc-v
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (-91.25%)
Mutual labels:  cpu, verilog
Computer-Architecture-Task-2
Riscv32 CPU Project
Stars: ✭ 43 (-97.75%)
Mutual labels:  cpu, risc-v
R8051
8051 soft CPU core. 700-lines statements for 111 instructions . Fully synthesizable Verilog-2001 core.
Stars: ✭ 70 (-96.33%)
Mutual labels:  cpu, verilog
super-miyamoto-sprint
Homebrew game for homebrew FPGA game console
Stars: ✭ 48 (-97.49%)
Mutual labels:  verilog, risc-v
Riscv Rust
RISC-V processor emulator written in Rust+WASM
Stars: ✭ 253 (-86.75%)
Mutual labels:  cpu, risc-v
NMSIS
Nuclei Microcontroller Software Interface Standard Development Repo
Stars: ✭ 24 (-98.74%)
Mutual labels:  nuclei, risc-v
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+190.15%)
Mutual labels:  verilog, risc-v
Rt Thread
RT-Thread is an open source IoT operating system.
Stars: ✭ 6,466 (+238.71%)
Mutual labels:  china, risc-v
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (-97.33%)
Mutual labels:  verilog, risc-v
COExperiment Repo
支持 45 条 MIPS 指令的单周期处理器 -- 计算机组成原理实验 NUAA Spring 2017
Stars: ✭ 23 (-98.8%)
Mutual labels:  cpu, verilog
yarvi
Yet Another RISC-V Implementation
Stars: ✭ 59 (-96.91%)
Mutual labels:  verilog, risc-v
picorv32 Xilinx
A picorv32-riscv Soc with DMAC and Ethernet controller & lwip & Kirtex7@333MHz
Stars: ✭ 49 (-97.43%)
Mutual labels:  verilog, risc-v
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (-45.47%)
Mutual labels:  verilog, cpu
Riscy Soc
Riscy-SoC is SoC based on RISC-V CPU core, designed in Verilog
Stars: ✭ 59 (-96.91%)
Mutual labels:  verilog, risc-v
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-96.65%)
Mutual labels:  verilog, cpu
Awesome Cpus
All CPU and MCU documentation in one place
Stars: ✭ 1,602 (-16.08%)
Mutual labels:  cpu, risc-v
Riscboy
Portable games console, designed from scratch: CPU, graphics, PCB, and the kitchen sink
Stars: ✭ 103 (-94.6%)
Mutual labels:  cpu, risc-v
Fwrisc
Featherweight RISC-V implementation
Stars: ✭ 39 (-97.96%)
Mutual labels:  verilog, risc-v
nuclei-sdk
Nuclei RISC-V Software Development Kit
Stars: ✭ 65 (-96.6%)
Mutual labels:  nuclei, risc-v
Mips Cpu
A MIPS CPU implemented in Verilog
Stars: ✭ 38 (-98.01%)
Mutual labels:  verilog, cpu
Icestation 32
Compact FPGA game console
Stars: ✭ 93 (-95.13%)
Mutual labels:  verilog, risc-v
Neorv32
A small and customizable full-scale 32-bit RISC-V soft-core CPU and SoC written in platform-independent VHDL.
Stars: ✭ 106 (-94.45%)
Mutual labels:  cpu, risc-v
Onemkl
oneAPI Math Kernel Library (oneMKL) Interfaces
Stars: ✭ 122 (-93.61%)
Mutual labels:  cpu
Fpga Peripherals
🌱 ❄️ Collection of open-source peripherals in Verilog
Stars: ✭ 130 (-93.19%)
Mutual labels:  verilog
Maplesyrup
Assesses CPU security of embedded devices. #nsacyber
Stars: ✭ 121 (-93.66%)
Mutual labels:  cpu
Fpganes
NES in Verilog
Stars: ✭ 119 (-93.77%)
Mutual labels:  verilog
Fpga based cnn
FPGA based acceleration of Convolutional Neural Networks. The project is developed by Verilog for Altera DE5 Net platform.
Stars: ✭ 129 (-93.24%)
Mutual labels:  verilog
Vscode Verilog Hdl Support
Verilog HDL/SystemVerilog/Bluespec SystemVerilog support for VS Code
Stars: ✭ 120 (-93.71%)
Mutual labels:  verilog
Svls
SystemVerilog language server
Stars: ✭ 119 (-93.77%)
Mutual labels:  verilog
Grandnode
Open source, headless, multi-tenant eCommerce platform built with .NET Core, MongoDB, AWS DocumentDB, Azure CosmosDB, Vue.js.
Stars: ✭ 1,768 (-7.39%)
Mutual labels:  core
Impala
An imperative and functional programming language
Stars: ✭ 118 (-93.82%)
Mutual labels:  cpu
Entrypoint
Composable CLI Argument Parser for all modern .Net platforms.
Stars: ✭ 136 (-92.88%)
Mutual labels:  core
Grofer
A system and resource monitoring tool written in Golang!
Stars: ✭ 135 (-92.93%)
Mutual labels:  cpu
Xnode
Unity Node Editor: Lets you view and edit node graphs inside Unity
Stars: ✭ 2,077 (+8.8%)
Mutual labels:  core
Nnpack
Acceleration package for neural networks on multi-core CPUs
Stars: ✭ 1,538 (-19.43%)
Mutual labels:  cpu
Surelog
SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API.
Stars: ✭ 116 (-93.92%)
Mutual labels:  verilog
Nandland
All code found on nandland is here. underconstruction.gif
Stars: ✭ 128 (-93.29%)
Mutual labels:  verilog
Connectal
Connectal is a framework for software-driven hardware development.
Stars: ✭ 117 (-93.87%)
Mutual labels:  verilog
Thorin
The Higher-Order Intermediate Representation
Stars: ✭ 116 (-93.92%)
Mutual labels:  cpu
Wbuart32
A simple, basic, formally verified UART controller
Stars: ✭ 133 (-93.03%)
Mutual labels:  verilog
Milkymist
SoC design for Milkymist One - LM32, DDR SDRAM, 2D TMU, PFPU
Stars: ✭ 127 (-93.35%)
Mutual labels:  verilog
Administrative Divisions Of China
中华人民共和国行政区划:省级(省份直辖市自治区)、 地级(城市)、 县级(区县)、 乡级(乡镇街道)、 村级(村委会居委会) ,中国省市区镇村二级三级四级五级联动地址数据。
Stars: ✭ 11,727 (+514.3%)
Mutual labels:  china
1-60 of 901 similar projects