All Projects → getting-started → Similar Projects or Alternatives

1339 Open source projects that are alternatives of or similar to getting-started

FPGAmp
720p FPGA Media Player (RISC-V + Motion JPEG + SD + HDMI on an Artix 7)
Stars: ✭ 190 (+280%)
Mutual labels:  fpga, mjpeg, hdmi, risc-v
Icestation 32
Compact FPGA game console
Stars: ✭ 93 (+86%)
Mutual labels:  fpga, verilog, risc-v
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-70%)
Mutual labels:  fpga, vhdl, verilog
FPGA-USB-Device
FPGA-based USB-device controller to implement USB-CDC, USB-HID, etc.
Stars: ✭ 29 (-42%)
Mutual labels:  fpga, usb, verilog
drec-fpga-intro
Materials for "Introduction to FPGA and Verilog" at MIPT DREC
Stars: ✭ 66 (+32%)
Mutual labels:  fpga, verilog, risc-v
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-50%)
Mutual labels:  fpga, vhdl, verilog
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-14%)
Mutual labels:  fpga, vhdl, verilog
Tang e203 mini
LicheeTang 蜂鸟E203 Core
Stars: ✭ 135 (+170%)
Mutual labels:  fpga, verilog, risc-v
Darkriscv
opensouce RISC-V cpu core implemented in Verilog from scratch in one night!
Stars: ✭ 1,062 (+2024%)
Mutual labels:  fpga, verilog, risc-v
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+1292%)
Mutual labels:  fpga, vhdl, verilog
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (+28%)
Mutual labels:  fpga, vhdl, verilog
simple-riscv
A simple three-stage RISC-V CPU
Stars: ✭ 14 (-72%)
Mutual labels:  fpga, vhdl, risc-v
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-28%)
Mutual labels:  fpga, vhdl, verilog
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+440%)
Mutual labels:  fpga, vhdl, verilog
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (+2%)
Mutual labels:  fpga, verilog, risc-v
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+1816%)
Mutual labels:  fpga, vhdl, verilog
Core jpeg
High throughput JPEG decoder in Verilog for FPGA
Stars: ✭ 64 (+28%)
Mutual labels:  fpga, mjpeg, verilog
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+8%)
Mutual labels:  fpga, vhdl, verilog
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (+190%)
Mutual labels:  fpga, vhdl, verilog
yarvi
Yet Another RISC-V Implementation
Stars: ✭ 59 (+18%)
Mutual labels:  fpga, verilog, risc-v
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (+444%)
Mutual labels:  fpga, verilog, risc-v
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+1982%)
Mutual labels:  fpga, vhdl, verilog
Audioxtreamer
ASIO driver, Usb Driver, FX2LP Firmware, VHDL Fpga, Schematics & PCB Layout for the AudioXtreamer, a USB 2.0 32ch Audio/Midi interface for retrofitting into digital mixers/interfaces.
Stars: ✭ 22 (-56%)
Mutual labels:  fpga, usb, vhdl
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (+316%)
Mutual labels:  fpga, verilog, risc-v
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+206%)
Mutual labels:  fpga, vhdl, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-66%)
Mutual labels:  fpga, vhdl, verilog
bl mcu sdk
bl_mcu_sdk is MCU software development kit provided by Bouffalo Lab Team for BL602/BL604, BL702/BL704/BL706, BL616/BL618, BL808 and other series of RISC-V based chips in the future.
Stars: ✭ 147 (+194%)
Mutual labels:  usb, mjpeg, risc-v
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-38%)
Mutual labels:  fpga, vhdl, verilog
Neorv32
A small and customizable full-scale 32-bit RISC-V soft-core CPU and SoC written in platform-independent VHDL.
Stars: ✭ 106 (+112%)
Mutual labels:  fpga, vhdl, risc-v
vhdl-hdmi-out
HDMI Out VHDL code for 7-series Xilinx FPGAs
Stars: ✭ 36 (-28%)
Mutual labels:  fpga, vhdl, hdmi
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (+442%)
Mutual labels:  fpga, usb, verilog
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+10978%)
Mutual labels:  fpga, verilog, risc-v
super-miyamoto-sprint
Homebrew game for homebrew FPGA game console
Stars: ✭ 48 (-4%)
Mutual labels:  fpga, verilog, risc-v
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (+54%)
Mutual labels:  fpga, verilog, risc-v
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+550%)
Mutual labels:  fpga, vhdl, verilog
usbcorev
A full-speed device-side USB peripheral core written in Verilog.
Stars: ✭ 135 (+170%)
Mutual labels:  fpga, usb, verilog
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (-8%)
Mutual labels:  vhdl, verilog
ics-adpcm
Programmable multichannel ADPCM decoder for FPGA
Stars: ✭ 18 (-64%)
Mutual labels:  fpga, verilog
CCAligner
🔮 Word by word audio subtitle synchronisation tool and API. Developed under GSoC 2017 with CCExtractor.
Stars: ✭ 131 (+162%)
Mutual labels:  gsoc, gsoc-2017
yahdl
A programming language for FPGAs.
Stars: ✭ 20 (-60%)
Mutual labels:  fpga, verilog
platform-lattice ice40
Lattice iCE40: development platform for PlatformIO
Stars: ✭ 34 (-32%)
Mutual labels:  fpga, verilog
phywhispererusb
PhyWhisperer-USB: Hardware USB Trigger
Stars: ✭ 56 (+12%)
Mutual labels:  fpga, usb
quasiSoC
No-MMU Linux capable RISC-V SoC designed to be useful.
Stars: ✭ 29 (-42%)
Mutual labels:  fpga, risc-v
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (+66%)
Mutual labels:  fpga, verilog
riscv-cores-list
RISC-V Cores, SoC platforms and SoCs
Stars: ✭ 651 (+1202%)
Mutual labels:  fpga, risc-v
cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
Stars: ✭ 157 (+214%)
Mutual labels:  fpga, verilog
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (-24%)
Mutual labels:  fpga, verilog
icebreaker-amaranth-examples
This repository contains iCEBreaker examples for Amaranth HDL.
Stars: ✭ 26 (-48%)
Mutual labels:  fpga, electronics
verilog-sid-mos6581
MOS6581 SID chip emulator in SystemVerilog
Stars: ✭ 22 (-56%)
Mutual labels:  fpga, verilog
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-26%)
Mutual labels:  fpga, verilog
srv32
Simple 3-stage pipeline RISC-V processor
Stars: ✭ 88 (+76%)
Mutual labels:  verilog, risc-v
LVDS-7-to-1-Serializer
An Verilog implementation of 7-to-1 LVDS Serializer. Which can be used for comunicating FPGAs with LVDS TFT Screens.
Stars: ✭ 33 (-34%)
Mutual labels:  fpga, verilog
MobileNet-in-FPGA
Generator of verilog description for FPGA MobileNet implementation
Stars: ✭ 107 (+114%)
Mutual labels:  fpga, verilog
no2muacm
Drop In USB CDC ACM core for iCE40 FPGA
Stars: ✭ 26 (-48%)
Mutual labels:  fpga, usb
Fake-News-Detection-Project
Fake News Detection Final Year College Project with Project Report, PPT, Code, Research Paper and Documents.
Stars: ✭ 16 (-68%)
Mutual labels:  university, projects
captouch
👇 Add capacitive touch buttons to any FPGA!
Stars: ✭ 96 (+92%)
Mutual labels:  fpga, vhdl
BenEaterVHDL
VHDL project to run a simple 8-bit computer very similar to the one built by Ben Eater (see https://eater.net)
Stars: ✭ 30 (-40%)
Mutual labels:  fpga, vhdl
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (+134%)
Mutual labels:  fpga, verilog
vboard
Virtual development board for HDL design
Stars: ✭ 32 (-36%)
Mutual labels:  vhdl, verilog
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (+4%)
Mutual labels:  fpga, verilog
1-60 of 1339 similar projects