All Projects → Logic → Similar Projects or Alternatives

1170 Open source projects that are alternatives of or similar to Logic

Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (+82.55%)
Mutual labels:  verilog, fpga, asic, verification
Rggen
Code generation tool for configuration and status registers
Stars: ✭ 54 (-63.76%)
Mutual labels:  verilog, fpga, asic, rtl
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (+81.88%)
Mutual labels:  verilog, fpga, asic, rtl
OpenLane
OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen, Fault and custom methodology scripts for design exploration and optimization.
Stars: ✭ 548 (+267.79%)
Mutual labels:  asic, rtl, verilog
Vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
Stars: ✭ 438 (+193.96%)
Mutual labels:  fpga, asic, verification
Axi
AXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication
Stars: ✭ 227 (+52.35%)
Mutual labels:  fpga, asic, rtl
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-75.17%)
Mutual labels:  fpga, rtl, verilog
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+542.95%)
Mutual labels:  verilog, fpga, asic
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (-42.28%)
Mutual labels:  asic, fpga, verilog
Aes
Verilog implementation of the symmetric block cipher AES (Advanced Encryption Standard) as specified in NIST FIPS 197. This implementation supports 128 and 256 bit keys.
Stars: ✭ 131 (-12.08%)
Mutual labels:  verilog, fpga, asic
Darkriscv
opensouce RISC-V cpu core implemented in Verilog from scratch in one night!
Stars: ✭ 1,062 (+612.75%)
Mutual labels:  verilog, fpga, rtl
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+367.11%)
Mutual labels:  verilog, fpga, rtl
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (+39.6%)
Mutual labels:  verilog, fpga, asic
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (-21.48%)
Mutual labels:  fpga, verification, verilog
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (-65.1%)
Mutual labels:  fpga, rtl, verilog
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (-48.32%)
Mutual labels:  verilog, fpga, rtl
cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
Stars: ✭ 157 (+5.37%)
Mutual labels:  fpga, rtl, verilog
Fpga readings
Recipe for FPGA cooking
Stars: ✭ 164 (+10.07%)
Mutual labels:  verilog, fpga, rtl
blarney
Haskell library for hardware description
Stars: ✭ 81 (-45.64%)
Mutual labels:  fpga, rtl, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-88.59%)
Mutual labels:  fpga, rtl, verilog
vga-clock
Show the time on a VGA monitor. Submitted for the Google MPW1 ASIC shuttle.
Stars: ✭ 48 (-67.79%)
Mutual labels:  fpga, rtl, verilog
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-75.84%)
Mutual labels:  fpga, rtl, verilog
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (-74.5%)
Mutual labels:  fpga, rtl, verilog
FPGA-USB-Device
FPGA-based USB-device controller to implement USB-CDC, USB-HID, etc.
Stars: ✭ 29 (-80.54%)
Mutual labels:  fpga, rtl, verilog
Fake-SDcard
Imitate SDcard using FPGAs.
Stars: ✭ 26 (-82.55%)
Mutual labels:  fpga, rtl, verilog
Livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Stars: ✭ 110 (-26.17%)
Mutual labels:  verilog, fpga, asic
Verilog
Repository for basic (and not so basic) Verilog blocks with high re-use potential
Stars: ✭ 296 (+98.66%)
Mutual labels:  verilog, fpga, rtl
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (-2.68%)
Mutual labels:  fpga, rtl, verilog
Openlane
OpenLANE is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen, Fault and custom methodology scripts for design exploration and optimization.
Stars: ✭ 293 (+96.64%)
Mutual labels:  verilog, asic, rtl
Open Register Design Tool
Tool to generate register RTL, models, and docs using SystemRDL or JSpec input
Stars: ✭ 126 (-15.44%)
Mutual labels:  verilog, fpga, asic
Openfpgaduino
All open source file and project for OpenFPGAduino project
Stars: ✭ 137 (-8.05%)
Mutual labels:  verilog, fpga
Open Fpga Verilog Tutorial
Learn how to design digital systems and synthesize them into an FPGA using only opensource tools
Stars: ✭ 464 (+211.41%)
Mutual labels:  verilog, fpga
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Stars: ✭ 466 (+212.75%)
Mutual labels:  verilog, fpga
Symbiflow Arch Defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Stars: ✭ 137 (-8.05%)
Mutual labels:  verilog, fpga
Riscv Cores List
RISC-V Cores, SoC platforms and SoCs
Stars: ✭ 471 (+216.11%)
Mutual labels:  fpga, asic
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Stars: ✭ 475 (+218.79%)
Mutual labels:  verilog, fpga
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+3617.45%)
Mutual labels:  verilog, fpga
Uhd
The USRP™ Hardware Driver Repository
Stars: ✭ 544 (+265.1%)
Mutual labels:  verilog, fpga
Zipcpu
A small, light weight, RISC CPU soft core
Stars: ✭ 640 (+329.53%)
Mutual labels:  verilog, fpga
Cascade
A Just-In-Time Compiler for Verilog from VMware Research
Stars: ✭ 413 (+177.18%)
Mutual labels:  verilog, fpga
Embedded Neural Network
collection of works aiming at reducing model sizes or the ASIC/FPGA accelerator for machine learning
Stars: ✭ 495 (+232.21%)
Mutual labels:  fpga, asic
Platformio Vscode Ide
PlatformIO IDE for VSCode: The next generation integrated development environment for IoT
Stars: ✭ 676 (+353.69%)
Mutual labels:  verilog, fpga
Hdl
HDL libraries and projects
Stars: ✭ 727 (+387.92%)
Mutual labels:  verilog, fpga
Icestudio
❄️ Visual editor for open FPGA boards
Stars: ✭ 958 (+542.95%)
Mutual labels:  verilog, fpga
Iroha
Intermediate Representation Of Hardware Abstraction (LLVM-ish for HLS)
Stars: ✭ 30 (-79.87%)
Mutual labels:  verilog, fpga
Tang e203 mini
LicheeTang 蜂鸟E203 Core
Stars: ✭ 135 (-9.4%)
Mutual labels:  verilog, fpga
Zbasic
A bare bones, basic, ZipCPU system designed for both testing and quick integration into new systems
Stars: ✭ 27 (-81.88%)
Mutual labels:  verilog, fpga
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-79.19%)
Mutual labels:  verilog, fpga
Wbuart32
A simple, basic, formally verified UART controller
Stars: ✭ 133 (-10.74%)
Mutual labels:  verilog, fpga
Gemm hls
Scalable systolic array-based matrix-matrix multiplication implemented in Vivado HLS for Xilinx FPGAs.
Stars: ✭ 134 (-10.07%)
Mutual labels:  cmake, fpga
Mips Cpu
A MIPS CPU implemented in Verilog
Stars: ✭ 38 (-74.5%)
Mutual labels:  verilog, fpga
Rsyocto
🤖 SoCFPGA: Open Source embedded Linux developed for Intel (ALTERA) SoC-FPGAs (Cyclone V & Arria 10)
Stars: ✭ 41 (-72.48%)
Mutual labels:  verilog, fpga
Cores Swerv
SweRV EH1 core
Stars: ✭ 406 (+172.48%)
Mutual labels:  fpga, rtl
Cocotb
cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python
Stars: ✭ 740 (+396.64%)
Mutual labels:  verilog, verification
Higan Verilog
This is a higan/Verilator co-simulation example/framework
Stars: ✭ 35 (-76.51%)
Mutual labels:  verilog, fpga
Hrm Cpu
Human Resource Machine - CPU Design #HRM
Stars: ✭ 43 (-71.14%)
Mutual labels:  verilog, fpga
Hlslib
A collection of extensions for Vivado HLS and Intel FPGA OpenCL to improve developer quality of life.
Stars: ✭ 131 (-12.08%)
Mutual labels:  cmake, fpga
Electron
A mixed signal netlist language (pre-alpha)
Stars: ✭ 52 (-65.1%)
Mutual labels:  verilog, fpga
Fpga101 Workshop
FPGA 101 - Workshop materials
Stars: ✭ 54 (-63.76%)
Mutual labels:  verilog, fpga
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-57.05%)
Mutual labels:  verilog, fpga
1-60 of 1170 similar projects