All Projects → Spi Fpga → Similar Projects or Alternatives

650 Open source projects that are alternatives of or similar to Spi Fpga

Spinalhdl
Scala based HDL
Stars: ✭ 696 (+1292%)
Mutual labels:  fpga, vhdl
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+1982%)
Mutual labels:  fpga, vhdl
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-66%)
Mutual labels:  fpga, vhdl
Fpga Fft
A highly optimized streaming FFT core based on Bailey's 4-step large FFT algorithm
Stars: ✭ 45 (-10%)
Mutual labels:  fpga, vhdl
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (+0%)
Mutual labels:  fpga, vhdl
simple-riscv
A simple three-stage RISC-V CPU
Stars: ✭ 14 (-72%)
Mutual labels:  fpga, vhdl
Space Invaders Vhdl
Space Invaders game implemented with VHDL
Stars: ✭ 142 (+184%)
Mutual labels:  fpga, vhdl
Fletcher
Fletcher: A framework to integrate FPGA accelerators with Apache Arrow
Stars: ✭ 144 (+188%)
Mutual labels:  fpga, vhdl
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+1816%)
Mutual labels:  fpga, vhdl
QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
Stars: ✭ 51 (+2%)
Mutual labels:  fpga, vhdl
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-50%)
Mutual labels:  fpga, vhdl
Hal
HAL – The Hardware Analyzer
Stars: ✭ 298 (+496%)
Mutual labels:  fpga, vhdl
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-28%)
Mutual labels:  fpga, vhdl
fpga torture
🔥 Technology-agnostic FPGA stress-test: maximum logic utilization and high dynamic power consumption.
Stars: ✭ 23 (-54%)
Mutual labels:  fpga, vhdl
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (+12%)
Mutual labels:  fpga, vhdl
Simon speck ciphers
Implementations of the Simon and Speck Block Ciphers
Stars: ✭ 74 (+48%)
Mutual labels:  fpga, vhdl
Neorv32
A small and customizable full-scale 32-bit RISC-V soft-core CPU and SoC written in platform-independent VHDL.
Stars: ✭ 106 (+112%)
Mutual labels:  fpga, vhdl
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+8%)
Mutual labels:  fpga, vhdl
Forth Cpu
A Forth CPU and System on a Chip, based on the J1, written in VHDL
Stars: ✭ 244 (+388%)
Mutual labels:  fpga, vhdl
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-38%)
Mutual labels:  fpga, vhdl
vhdl-hdmi-out
HDMI Out VHDL code for 7-series Xilinx FPGAs
Stars: ✭ 36 (-28%)
Mutual labels:  fpga, vhdl
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (-46%)
Mutual labels:  fpga, vhdl
noasic
An open-source VHDL library for FPGA design.
Stars: ✭ 27 (-46%)
Mutual labels:  fpga, vhdl
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+440%)
Mutual labels:  fpga, vhdl
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (+442%)
Mutual labels:  fpga, spi
BenEaterVHDL
VHDL project to run a simple 8-bit computer very similar to the one built by Ben Eater (see https://eater.net)
Stars: ✭ 30 (-40%)
Mutual labels:  fpga, vhdl
Vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
Stars: ✭ 438 (+776%)
Mutual labels:  fpga, vhdl
fpga puf
🔑 Technology-agnostic Physical Unclonable Function (PUF) hardware module for any FPGA.
Stars: ✭ 44 (-12%)
Mutual labels:  fpga, vhdl
captouch
👇 Add capacitive touch buttons to any FPGA!
Stars: ✭ 96 (+92%)
Mutual labels:  fpga, vhdl
Audioxtreamer
ASIO driver, Usb Driver, FX2LP Firmware, VHDL Fpga, Schematics & PCB Layout for the AudioXtreamer, a USB 2.0 32ch Audio/Midi interface for retrofitting into digital mixers/interfaces.
Stars: ✭ 22 (-56%)
Mutual labels:  fpga, vhdl
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-70%)
Mutual labels:  fpga, vhdl
sdram-controller
Generic FPGA SDRAM controller, originally made for AS4C4M16SA
Stars: ✭ 45 (-10%)
Mutual labels:  fpga, controller
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-14%)
Mutual labels:  fpga, vhdl
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+206%)
Mutual labels:  fpga, vhdl
Aes
AES-128 hardware implementation
Stars: ✭ 25 (-50%)
Mutual labels:  fpga, vhdl
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (+28%)
Mutual labels:  fpga, vhdl
Neo430
A very small msp430-compatible customizable soft-core microcontroller-like processor system written in platform-independent VHDL.
Stars: ✭ 120 (+140%)
Mutual labels:  fpga, vhdl
Haddoc2
Caffe to VHDL
Stars: ✭ 57 (+14%)
Mutual labels:  fpga, vhdl
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+550%)
Mutual labels:  fpga, vhdl
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (+190%)
Mutual labels:  fpga, vhdl
F32c
A 32-bit RISC-V / MIPS ISA retargetable CPU core & SoC, 1.63 DMIPS/MHz
Stars: ✭ 338 (+576%)
Mutual labels:  fpga, vhdl
Lxp32 Cpu
A lightweight, open source and FPGA-friendly 32-bit CPU core based on an original instruction set
Stars: ✭ 27 (-46%)
Mutual labels:  fpga, vhdl
Autooffload.jl
Automatic GPU, TPU, FPGA, Xeon Phi, Multithreaded, Distributed, etc. offloading for scientific machine learning (SciML) and differential equations
Stars: ✭ 21 (-58%)
Mutual labels:  fpga
Hdmi2usb Numato Opsis Sample Code
Example code for the Numato Opsis board, the first HDMI2USB production board.
Stars: ✭ 40 (-20%)
Mutual labels:  vhdl
Fpga Bbc
Acorn BBC Micro on an Altera DE1 FPGA board
Stars: ✭ 14 (-72%)
Mutual labels:  vhdl
Vhdl Mips Pipeline Microprocessor
VHDL-Mips-Pipeline-Microprocessor
Stars: ✭ 12 (-76%)
Mutual labels:  vhdl
Mips Cpu
A MIPS CPU implemented in Verilog
Stars: ✭ 38 (-24%)
Mutual labels:  fpga
Fpganes
Stars: ✭ 12 (-76%)
Mutual labels:  vhdl
Rewire
Experimental compiler for a subset of Haskell to VHDL
Stars: ✭ 10 (-80%)
Mutual labels:  vhdl
Open Source Fpga Bitcoin Miner
A completely open source implementation of a Bitcoin Miner for Altera and Xilinx FPGAs. This project hopes to promote the free and open development of FPGA based mining solutions and secure the future of the Bitcoin project as a whole. A binary release is currently available for the Terasic DE2-115 Development Board, and there are compile-able projects for numerous boards.
Stars: ✭ 989 (+1878%)
Mutual labels:  vhdl
Multicomp
Simple custom computer on a FPGA
Stars: ✭ 8 (-84%)
Mutual labels:  vhdl
Nexyspsram
AXI PSRAM Controller IP for use with Digilent Nexys 4
Stars: ✭ 7 (-86%)
Mutual labels:  vhdl
Ecp5 Pcie
Mirror of https://codeberg.org/ECP5-PCIe/ECP5-PCIe
Stars: ✭ 49 (-2%)
Mutual labels:  fpga
Hrm Cpu
Human Resource Machine - CPU Design #HRM
Stars: ✭ 43 (-14%)
Mutual labels:  fpga
Pano z80
Retro Z80 computer for the Pano Logic Thin Client
Stars: ✭ 37 (-26%)
Mutual labels:  fpga
Modelassistant
Elegant library to manage the interactions between view and model in Swift
Stars: ✭ 26 (-48%)
Mutual labels:  controller
Flearadio
Digital FM Radio Receiver for FPGA
Stars: ✭ 36 (-28%)
Mutual labels:  vhdl
Tenyr
Simple, orthogonal 32-bit computer architecture and environment
Stars: ✭ 24 (-52%)
Mutual labels:  fpga
Send altitude cocoos
IoT program for Arduino Uno / STM32 Blue Pill (libopencm3) that reads BME280 temperature + humidity + altitude sensors, via I2C or SPI with DMA and multitasking. Sends sensor data to Sigfox via Wisol Sigfox module on UART. Runs on cocoOS task scheduling library http://www.cocoos.net
Stars: ✭ 24 (-52%)
Mutual labels:  spi
Scaffold
Donjon hardware tool for circuits security evaluation
Stars: ✭ 43 (-14%)
Mutual labels:  vhdl
1-60 of 650 similar projects