All Projects → Zipcpu → Similar Projects or Alternatives

785 Open source projects that are alternatives of or similar to Zipcpu

J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-90%)
Mutual labels:  verilog, fpga, cpu
Darkriscv
opensouce RISC-V cpu core implemented in Verilog from scratch in one night!
Stars: ✭ 1,062 (+65.94%)
Mutual labels:  verilog, fpga, cpu
Hrm Cpu
Human Resource Machine - CPU Design #HRM
Stars: ✭ 43 (-93.28%)
Mutual labels:  verilog, fpga, cpu
Mips Cpu
A MIPS CPU implemented in Verilog
Stars: ✭ 38 (-94.06%)
Mutual labels:  verilog, fpga, cpu
Riscv
RISC-V CPU Core (RV32IM)
Stars: ✭ 272 (-57.5%)
Mutual labels:  verilog, fpga, cpu
Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (-67.5%)
Mutual labels:  verilog, fpga, cpu
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+62.66%)
Mutual labels:  verilog, fpga, cpu
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (-87.97%)
Mutual labels:  verilog, fpga, cpu
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (-73.91%)
Mutual labels:  cpu, fpga, verilog
ARM9-compatible-soft-CPU-core
This ARMv4-compatible CPU core is written in synthesiable verilog.It could launch uCLinux and Linux in MODELSIM. It has high Dhrystone benchmark value: 1.2 DMIPS/MHz. It could be utilized in your FPGA design as one submodule, if you master the interface of this .v file. This IP core is very compact. It is one .v file and has only less 1800 lines.
Stars: ✭ 42 (-93.44%)
Mutual labels:  cpu, verilog
FPGA RealTime and Static Sobel Edge Detection
Pipelined implementation of Sobel Edge Detection on OV7670 camera and on still images
Stars: ✭ 14 (-97.81%)
Mutual labels:  fpga, verilog
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+765.47%)
Mutual labels:  verilog, fpga
TinyMIPS
The Project TinyMIPS is dedicated to enabling undergraduates to build a complete computer system from scratch.
Stars: ✭ 29 (-95.47%)
Mutual labels:  cpu, fpga
pdp6
PDP-6 Emulator
Stars: ✭ 47 (-92.66%)
Mutual labels:  fpga, verilog
Nontrivial Mips
NonTrivial-MIPS is a synthesizable superscalar MIPS processor with branch prediction and FPU support, and it is capable of booting linux.
Stars: ✭ 417 (-34.84%)
Mutual labels:  fpga, cpu
fpga-nn
NN on FPGA
Stars: ✭ 16 (-97.5%)
Mutual labels:  fpga, verilog
Cascade
A Just-In-Time Compiler for Verilog from VMware Research
Stars: ✭ 413 (-35.47%)
Mutual labels:  verilog, fpga
R8051
8051 soft CPU core. 700-lines statements for 111 instructions . Fully synthesizable Verilog-2001 core.
Stars: ✭ 70 (-89.06%)
Mutual labels:  cpu, verilog
Uhd
The USRP™ Hardware Driver Repository
Stars: ✭ 544 (-15%)
Mutual labels:  verilog, fpga
dblclockfft
A configurable C++ generator of pipelined Verilog FFT cores
Stars: ✭ 147 (-77.03%)
Mutual labels:  fpga, verilog
ZYNQ-NVDLA
NVDLA (An Opensource DL Accelerator Framework) implementation on FPGA.
Stars: ✭ 144 (-77.5%)
Mutual labels:  fpga, verilog
FPGA NTP SERVER
A FPGA implementation of the NTP and NTS protocols
Stars: ✭ 27 (-95.78%)
Mutual labels:  fpga, verilog
QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
Stars: ✭ 51 (-92.03%)
Mutual labels:  cpu, fpga
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-97.66%)
Mutual labels:  fpga, verilog
FPGA-USB-Device
FPGA-based USB-device controller to implement USB-CDC, USB-HID, etc.
Stars: ✭ 29 (-95.47%)
Mutual labels:  fpga, verilog
rapcores
Robotic Application Processor
Stars: ✭ 14 (-97.81%)
Mutual labels:  fpga, verilog
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Stars: ✭ 475 (-25.78%)
Mutual labels:  verilog, fpga
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (-91.87%)
Mutual labels:  fpga, verilog
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-94.37%)
Mutual labels:  fpga, verilog
community
ROS 2 Hardware Acceleration Working Group community governance model & list of projects
Stars: ✭ 34 (-94.69%)
Mutual labels:  cpu, fpga
MobileNet-in-FPGA
Generator of verilog description for FPGA MobileNet implementation
Stars: ✭ 107 (-83.28%)
Mutual labels:  fpga, verilog
yarvi
Yet Another RISC-V Implementation
Stars: ✭ 59 (-90.78%)
Mutual labels:  fpga, verilog
verifla
Fork of OpenVeriFla - FPGA debugging logic analyzer to use with your designs - examples (so far) for ice40/IceStorm
Stars: ✭ 21 (-96.72%)
Mutual labels:  fpga, verilog
EDSAC
FPGA Verilog implementation of 1949 EDSAC Computer with animated tape reader, panel, teleprinter and CRT scope
Stars: ✭ 28 (-95.62%)
Mutual labels:  fpga, verilog
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (-81.72%)
Mutual labels:  fpga, verilog
FpOC
FPGA-based Field Oriented Control (FOC) for driving BLDC/PMSM motor.
Stars: ✭ 138 (-78.44%)
Mutual labels:  fpga, verilog
karuta
Karuta HLS Compiler: High level synthesis from prototype based object oriented script language to RTL (Verilog) aiming to be useful for FPGA development.
Stars: ✭ 89 (-86.09%)
Mutual labels:  fpga, verilog
eddr3
mirror of https://git.elphel.com/Elphel/eddr3
Stars: ✭ 33 (-94.84%)
Mutual labels:  fpga, verilog
CSCvon8
A crazy small 8-bit CPU built with only seventeen 7400-series chips.
Stars: ✭ 86 (-86.56%)
Mutual labels:  cpu, verilog
Fuxi
Fuxi (伏羲) is a 32-bit pipelined RISC-V processor written in Chisel3.
Stars: ✭ 68 (-89.37%)
Mutual labels:  cpu, fpga
usbcorev
A full-speed device-side USB peripheral core written in Verilog.
Stars: ✭ 135 (-78.91%)
Mutual labels:  fpga, verilog
wbi2c
Wishbone controlled I2C controllers
Stars: ✭ 25 (-96.09%)
Mutual labels:  fpga, verilog
LVDS-7-to-1-Serializer
An Verilog implementation of 7-to-1 LVDS Serializer. Which can be used for comunicating FPGAs with LVDS TFT Screens.
Stars: ✭ 33 (-94.84%)
Mutual labels:  fpga, verilog
Deep-DarkFantasy
Global Dark Mode for ALL apps on ANY platforms.
Stars: ✭ 16 (-97.5%)
Mutual labels:  fpga, verilog
1bitSDR
Minimal SDR with Lattice MachXO2 FPGA. And a port to Cyclone3 by Steven Groom
Stars: ✭ 53 (-91.72%)
Mutual labels:  fpga, verilog
dpll
A collection of phase locked loop (PLL) related projects
Stars: ✭ 55 (-91.41%)
Mutual labels:  fpga, verilog
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (-77.34%)
Mutual labels:  fpga, verilog
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
Stars: ✭ 51 (-92.03%)
Mutual labels:  fpga, verilog
super-miyamoto-sprint
Homebrew game for homebrew FPGA game console
Stars: ✭ 48 (-92.5%)
Mutual labels:  fpga, verilog
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-93.28%)
Mutual labels:  fpga, verilog
Apio
🌱 Open source ecosystem for open FPGA boards
Stars: ✭ 366 (-42.81%)
Mutual labels:  verilog, fpga
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-92.19%)
Mutual labels:  fpga, verilog
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (-86.56%)
Mutual labels:  fpga, verilog
blarney
Haskell library for hardware description
Stars: ✭ 81 (-87.34%)
Mutual labels:  fpga, verilog
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Stars: ✭ 466 (-27.19%)
Mutual labels:  verilog, fpga
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (-57.66%)
Mutual labels:  verilog, fpga
Icezum
🌟 IceZUM Alhambra: an Arduino-like Open FPGA electronic board
Stars: ✭ 280 (-56.25%)
Mutual labels:  verilog, fpga
Verilog
Repository for basic (and not so basic) Verilog blocks with high re-use potential
Stars: ✭ 296 (-53.75%)
Mutual labels:  verilog, fpga
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (-57.81%)
Mutual labels:  verilog, fpga
Openpiton
The OpenPiton Platform
Stars: ✭ 282 (-55.94%)
Mutual labels:  verilog, fpga
1-60 of 785 similar projects