Top 626 Verilog open source projects

53. prog fpgas
The repository for the Verilog code examples and ISE projects that accompany the book Programming FPGAs: Getting Started with Verilog.
54. Gauntlet FPGA
FPGA implementation of Atari's Gauntlet arcade game
55. terasic-de10-nano-kit
Code samples for the DE10-Nano Developer Kit
56. zju-course
ZJU 信安19 课程资料
58. 32-Verilog-Mini-Projects
Implementing 32 Verilog Mini Projects. 32 bit adder, Array Multiplier, Barrel Shifter, Binary Divider 16 by 8, Booth Multiplication, CRC Coding, Carry Select and Carry Look Ahead Adder, Carry Skip and Carry Save Adder, Complex Multiplier, Dice Game, FIFO, Fixed Point Adder and Subtractor, Fixed Point Multiplier and Divider, Floating Point IEEE 7…
59. SSBCC
Small Stack-Based Computer Compiler -- Verilog micro controller for FPGA housekeeping with peripherals
61. UART2NAND
Interface for exposing raw NAND i/o over UART to enable pc-side modification.
62. SOFA
SOFA (Skywater Opensource FPGAs) based on Skywater 130nm PDK and OpenFPGA
63. Cello-v2
Cello v2 is the continuation of the Cello genetic circuit design software.
64. wasca
Sega Saturn multipurporse cartridge
65. intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
66. Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
67. TMR
Triple Modular Redundancy
69. i3c-slave-design
MIPI I3C Basic v1.0 communication Slave source code in Verilog with BSD license to support use in sensors and other devices.
✭ 50
Verilog
70. vdatp
Volumetric Display using an Acoustically Trapped Particle
71. VGChips
Video Game custom chips reverse-engineered from silicon
72. SBusFPGA
Stuff to put a FPGA in a SBus system (SPARCstation)
73. Hardware Design
No description, website, or topics provided.
✭ 25
Verilog
74. clockwork
A polyhedral compiler for hardware accelerators
75. OpenGL-Programming-Guide-8th-Edition-Code
No description, website, or topics provided.
76. iceglitch
cheap and terrible voltage glitcher hardware/software
77. vp awsfpga
Virtual Platform for AWS FPGA support
78. PlayStation MiSTer
PlayStation for MiSTer FPGA
79. dpll
A collection of phase locked loop (PLL) related projects
80. bitcoin mining
Simple test fpga bitcoin miner
81. usb2 dev
USB 2.0 Device IP Core
✭ 28
Verilog
82. ce2020labs
ChipEXPO 2020 Digital Design School Labs
83. rygar-fpga
A FPGA core for the arcade game, Rygar (1986).
85. router
清华大学2019计网联合实验第一组
86. Atari800 MiSTer
Atari 800XL/65XE/130XE for MiSTer
87. sdaccel chisel integration
Chisel Project for Integrating RTL code into SDAccel
88. DIPSY
No description, website, or topics provided.
89. hardenedlinux profiles
It contains hardenedlinux community documentation.
✭ 57
Verilog
90. vdf-fpga
Implementation of an RSA VDF evaluator targeting FPGAs.
91. icestick
Simple demo for Lattice iCEstick board as seen on Hackaday
92. UMDCTF-2017-Challenges
A selection of challenges created for UMDCTF 2017
93. verilog-wishbone
Verilog wishbone components
94. lambdasoc
No description, website, or topics provided.
95. FreePDK45-RRAM-Addon
A RRAM addon for the NCSU FreePDK 45nm
98. Clarinet
A RISC-V processor written in BSV, based on the Flute core. Has support for integrating tightly-coupled accelerators, and for integrating custom functional units like posit arithmetic units.
99. VossII
The source code to the Voss II Hardware Verification Suite
100. 1bitSDR
Minimal SDR with Lattice MachXO2 FPGA. And a port to Cyclone3 by Steven Groom
51-100 of 626 Verilog projects