All Projects → cocotb-bus → Similar Projects or Alternatives

653 Open source projects that are alternatives of or similar to cocotb-bus

docker
Scripts to build and use docker images including GHDL
Stars: ✭ 27 (+35%)
Mutual labels:  vhdl, verilog, testbench
symbolator
HDL symbol generator
Stars: ✭ 123 (+515%)
Mutual labels:  vhdl, verilog, hdl
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (+25%)
Mutual labels:  vhdl, verilog, hdl
vboard
Virtual development board for HDL design
Stars: ✭ 32 (+60%)
Mutual labels:  vhdl, verilog, hdl
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+170%)
Mutual labels:  vhdl, verilog
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+4690%)
Mutual labels:  vhdl, verilog
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (+130%)
Mutual labels:  vhdl, verilog
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (+150%)
Mutual labels:  vhdl, verilog
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (+625%)
Mutual labels:  vhdl, verilog
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+1250%)
Mutual labels:  vhdl, verilog
Microwatt
A tiny Open POWER ISA softcore written in VHDL 2008
Stars: ✭ 383 (+1815%)
Mutual labels:  vhdl, verilog
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (+115%)
Mutual labels:  vhdl, verilog
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (+55%)
Mutual labels:  vhdl, verilog
Awesome Open Hardware Verification
A List of Free and Open Source Hardware Verification Tools and Frameworks
Stars: ✭ 103 (+415%)
Mutual labels:  vhdl, verilog
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (+195%)
Mutual labels:  vhdl, verilog
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
Stars: ✭ 51 (+155%)
Mutual labels:  verilog, hdl
sv-tests
Test suite designed to check compliance with the SystemVerilog standard.
Stars: ✭ 148 (+640%)
Mutual labels:  verilog, hdl
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (+60%)
Mutual labels:  vhdl, verilog
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+1525%)
Mutual labels:  vhdl, verilog
Ophidian
Ophidian's Mirror Repository on github. https://gitlab.com/eclufsc/eda/ophidian
Stars: ✭ 32 (+60%)
Mutual labels:  vhdl, verilog
yavhdl
Yet Another VHDL tool
Stars: ✭ 29 (+45%)
Mutual labels:  vhdl, hdl
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+5105%)
Mutual labels:  vhdl, verilog
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+665%)
Mutual labels:  vhdl, verilog
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (+220%)
Mutual labels:  vhdl, verilog
Degate
Open source software for chip reverse engineering.
Stars: ✭ 156 (+680%)
Mutual labels:  vhdl, verilog
cpu11
Revengineered ancient PDP-11 CPUs, originals and clones
Stars: ✭ 120 (+500%)
Mutual labels:  verilog, hdl
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (+315%)
Mutual labels:  verilog, hdl
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (+180%)
Mutual labels:  verilog, hdl
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (+85%)
Mutual labels:  verilog, hdl
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (+90%)
Mutual labels:  verilog, hdl
Hdl checker
Repurposing existing HDL tools to help writing better code
Stars: ✭ 103 (+415%)
Mutual labels:  vhdl, verilog
Cocotb
cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python
Stars: ✭ 740 (+3600%)
Mutual labels:  vhdl, verilog
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+3380%)
Mutual labels:  vhdl, verilog
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-25%)
Mutual labels:  vhdl, verilog
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (+330%)
Mutual labels:  verilog, hdl
Awesome Hdl
Hardware Description Languages
Stars: ✭ 385 (+1825%)
Mutual labels:  vhdl, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-15%)
Mutual labels:  vhdl, verilog
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (+485%)
Mutual labels:  verilog, hdl
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (+80%)
Mutual labels:  vhdl, verilog
libgen-downloader
A simple tool to search and download ebooks from libgen via terminal user interface.
Stars: ✭ 98 (+390%)
Mutual labels:  interface
FpOC
FPGA-based Field Oriented Control (FOC) for driving BLDC/PMSM motor.
Stars: ✭ 138 (+590%)
Mutual labels:  verilog
LTemplate
Extend Mathematica with LibraryLink and C++ with ease
Stars: ✭ 50 (+150%)
Mutual labels:  interface
CSCvon8
A crazy small 8-bit CPU built with only seventeen 7400-series chips.
Stars: ✭ 86 (+330%)
Mutual labels:  verilog
eddr3
mirror of https://git.elphel.com/Elphel/eddr3
Stars: ✭ 33 (+65%)
Mutual labels:  verilog
pyvsc
Python packages providing a library for Verification Stimulus and Coverage
Stars: ✭ 58 (+190%)
Mutual labels:  cocotb
noasic
An open-source VHDL library for FPGA design.
Stars: ✭ 27 (+35%)
Mutual labels:  vhdl
can2mqtt
Bidirectional CAN-Bus to MQTT-Bridge
Stars: ✭ 39 (+95%)
Mutual labels:  bus
gdb-dashboard
Modular visual interface for GDB in Python
Stars: ✭ 8,699 (+43395%)
Mutual labels:  interface
INT FP MAC
INT8 & FP16 multiplier accumulator (MAC) design with UVM verification completed.
Stars: ✭ 31 (+55%)
Mutual labels:  verilog
Verilog-Practice
HDLBits website practices & solutions
Stars: ✭ 316 (+1480%)
Mutual labels:  verilog
usbcorev
A full-speed device-side USB peripheral core written in Verilog.
Stars: ✭ 135 (+575%)
Mutual labels:  verilog
hdelk
Web-based HDL diagramming tool
Stars: ✭ 51 (+155%)
Mutual labels:  hdl
karuta
Karuta HLS Compiler: High level synthesis from prototype based object oriented script language to RTL (Verilog) aiming to be useful for FPGA development.
Stars: ✭ 89 (+345%)
Mutual labels:  verilog
bmtc
Ruby wrapper for Bangalore Metropolitan Transport Corporation BMTC Intelligent Transport System (ITS) API
Stars: ✭ 13 (-35%)
Mutual labels:  bus
ZYNQ-NVDLA
NVDLA (An Opensource DL Accelerator Framework) implementation on FPGA.
Stars: ✭ 144 (+620%)
Mutual labels:  verilog
LCARS
LCARS, Star Trek style, HTML + CSS interface.
Stars: ✭ 22 (+10%)
Mutual labels:  interface
EDSAC
FPGA Verilog implementation of 1949 EDSAC Computer with animated tape reader, panel, teleprinter and CRT scope
Stars: ✭ 28 (+40%)
Mutual labels:  verilog
avr
Reads a state transition system and performs property checking
Stars: ✭ 41 (+105%)
Mutual labels:  verilog
Westworld-Style-Behavior-Pad-Interface
UPDATE 20210705: Adding ZeroMQ functions in to allow full-duplex comms with deep parts of the hosts' cognitive processes, and expose speech recognition background processes, visual processes, etc. ORIGINAL DESCRIPTION >>>This is a working version of the iconic Behavior Pad "Rose Graph" Interface that the Westworld Techs use to set Host Behaviora…
Stars: ✭ 25 (+25%)
Mutual labels:  interface
arrivelah
Fast simple API for bus arrival times in Singapore.
Stars: ✭ 67 (+235%)
Mutual labels:  bus
1-60 of 653 similar projects