All Projects → Riscv → Similar Projects or Alternatives

989 Open source projects that are alternatives of or similar to Riscv

Biriscv
32-bit Superscalar RISC-V CPU
Stars: ✭ 208 (-23.53%)
Mutual labels:  verilog, fpga, cpu, risc-v, asic
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (-71.69%)
Mutual labels:  verilog, fpga, cpu, risc-v
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (-45.22%)
Mutual labels:  verilog, fpga, asic, verification
Darkriscv
opensouce RISC-V cpu core implemented in Verilog from scratch in one night!
Stars: ✭ 1,062 (+290.44%)
Mutual labels:  verilog, fpga, cpu, risc-v
Zipcpu
A small, light weight, RISC CPU soft core
Stars: ✭ 640 (+135.29%)
Mutual labels:  verilog, fpga, cpu
Neorv32
A small and customizable full-scale 32-bit RISC-V soft-core CPU and SoC written in platform-independent VHDL.
Stars: ✭ 106 (-61.03%)
Mutual labels:  fpga, cpu, risc-v
yarvi
Yet Another RISC-V Implementation
Stars: ✭ 59 (-78.31%)
Mutual labels:  fpga, verilog, risc-v
Aes
Verilog implementation of the symmetric block cipher AES (Advanced Encryption Standard) as specified in NIST FIPS 197. This implementation supports 128 and 256 bit keys.
Stars: ✭ 131 (-51.84%)
Mutual labels:  verilog, fpga, asic
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (-56.99%)
Mutual labels:  fpga, verification, verilog
Riscv Cores List
RISC-V Cores, SoC platforms and SoCs
Stars: ✭ 471 (+73.16%)
Mutual labels:  fpga, risc-v, asic
super-miyamoto-sprint
Homebrew game for homebrew FPGA game console
Stars: ✭ 48 (-82.35%)
Mutual labels:  fpga, verilog, risc-v
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (-0.37%)
Mutual labels:  verilog, fpga, asic
Rggen
Code generation tool for configuration and status registers
Stars: ✭ 54 (-80.15%)
Mutual labels:  verilog, fpga, asic
Hrm Cpu
Human Resource Machine - CPU Design #HRM
Stars: ✭ 43 (-84.19%)
Mutual labels:  verilog, fpga, cpu
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (-68.38%)
Mutual labels:  asic, fpga, verilog
Riscboy
Portable games console, designed from scratch: CPU, graphics, PCB, and the kitchen sink
Stars: ✭ 103 (-62.13%)
Mutual labels:  fpga, cpu, risc-v
Tang e203 mini
LicheeTang 蜂鸟E203 Core
Stars: ✭ 135 (-50.37%)
Mutual labels:  verilog, fpga, risc-v
Vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
Stars: ✭ 438 (+61.03%)
Mutual labels:  fpga, asic, verification
Icestation 32
Compact FPGA game console
Stars: ✭ 93 (-65.81%)
Mutual labels:  verilog, fpga, risc-v
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-81.62%)
Mutual labels:  fpga, verilog, risc-v
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+252.21%)
Mutual labels:  verilog, fpga, asic
Mips Cpu
A MIPS CPU implemented in Verilog
Stars: ✭ 38 (-86.03%)
Mutual labels:  verilog, fpga, cpu
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-76.47%)
Mutual labels:  verilog, fpga, cpu
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+282.72%)
Mutual labels:  verilog, fpga, cpu
E200 opensource
This repository hosts the project for open-source hummingbird E203 RISC processor Core.
Stars: ✭ 1,909 (+601.84%)
Mutual labels:  verilog, cpu, risc-v
Open Register Design Tool
Tool to generate register RTL, models, and docs using SystemRDL or JSpec input
Stars: ✭ 126 (-53.68%)
Mutual labels:  verilog, fpga, asic
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
Stars: ✭ 167 (-38.6%)
Mutual labels:  cpu, fpga, verilog
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+1936.4%)
Mutual labels:  verilog, fpga, risc-v
riscv-cores-list
RISC-V Cores, SoC platforms and SoCs
Stars: ✭ 651 (+139.34%)
Mutual labels:  asic, fpga, risc-v
Cva6
The CORE-V CVA6 is an Application class 6-stage RISC-V CPU capable of booting Linux
Stars: ✭ 1,144 (+320.59%)
Mutual labels:  fpga, cpu, asic
Livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Stars: ✭ 110 (-59.56%)
Mutual labels:  verilog, fpga, asic
drec-fpga-intro
Materials for "Introduction to FPGA and Verilog" at MIPT DREC
Stars: ✭ 66 (-75.74%)
Mutual labels:  fpga, verilog, risc-v
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (-81.25%)
Mutual labels:  fpga, verilog, risc-v
TinyMIPS
The Project TinyMIPS is dedicated to enabling undergraduates to build a complete computer system from scratch.
Stars: ✭ 29 (-89.34%)
Mutual labels:  cpu, fpga
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (-80.88%)
Mutual labels:  fpga, verilog
pdp6
PDP-6 Emulator
Stars: ✭ 47 (-82.72%)
Mutual labels:  fpga, verilog
ARM9-compatible-soft-CPU-core
This ARMv4-compatible CPU core is written in synthesiable verilog.It could launch uCLinux and Linux in MODELSIM. It has high Dhrystone benchmark value: 1.2 DMIPS/MHz. It could be utilized in your FPGA design as one submodule, if you master the interface of this .v file. This IP core is very compact. It is one .v file and has only less 1800 lines.
Stars: ✭ 42 (-84.56%)
Mutual labels:  cpu, verilog
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-86.76%)
Mutual labels:  fpga, verilog
community
ROS 2 Hardware Acceleration Working Group community governance model & list of projects
Stars: ✭ 34 (-87.5%)
Mutual labels:  cpu, fpga
Riscv Rust
RISC-V processor emulator written in Rust+WASM
Stars: ✭ 253 (-6.99%)
Mutual labels:  cpu, risc-v
fpga-nn
NN on FPGA
Stars: ✭ 16 (-94.12%)
Mutual labels:  fpga, verilog
vericert
A formally verified high-level synthesis tool based on CompCert and written in Coq.
Stars: ✭ 63 (-76.84%)
Mutual labels:  verification, verilog
verifla
Fork of OpenVeriFla - FPGA debugging logic analyzer to use with your designs - examples (so far) for ice40/IceStorm
Stars: ✭ 21 (-92.28%)
Mutual labels:  fpga, verilog
MobileNet-in-FPGA
Generator of verilog description for FPGA MobileNet implementation
Stars: ✭ 107 (-60.66%)
Mutual labels:  fpga, verilog
yatcpu
Yet another toy CPU.
Stars: ✭ 42 (-84.56%)
Mutual labels:  cpu, risc-v
FPGA RealTime and Static Sobel Edge Detection
Pipelined implementation of Sobel Edge Detection on OV7670 camera and on still images
Stars: ✭ 14 (-94.85%)
Mutual labels:  fpga, verilog
Fake-SDcard
Imitate SDcard using FPGAs.
Stars: ✭ 26 (-90.44%)
Mutual labels:  fpga, verilog
ZYNQ-NVDLA
NVDLA (An Opensource DL Accelerator Framework) implementation on FPGA.
Stars: ✭ 144 (-47.06%)
Mutual labels:  fpga, verilog
EDSAC
FPGA Verilog implementation of 1949 EDSAC Computer with animated tape reader, panel, teleprinter and CRT scope
Stars: ✭ 28 (-89.71%)
Mutual labels:  fpga, verilog
CSCvon8
A crazy small 8-bit CPU built with only seventeen 7400-series chips.
Stars: ✭ 86 (-68.38%)
Mutual labels:  cpu, verilog
FpOC
FPGA-based Field Oriented Control (FOC) for driving BLDC/PMSM motor.
Stars: ✭ 138 (-49.26%)
Mutual labels:  fpga, verilog
PeakRDL-ipxact
Import and export IP-XACT XML register models
Stars: ✭ 21 (-92.28%)
Mutual labels:  asic, fpga
karuta
Karuta HLS Compiler: High level synthesis from prototype based object oriented script language to RTL (Verilog) aiming to be useful for FPGA development.
Stars: ✭ 89 (-67.28%)
Mutual labels:  fpga, verilog
R8051
8051 soft CPU core. 700-lines statements for 111 instructions . Fully synthesizable Verilog-2001 core.
Stars: ✭ 70 (-74.26%)
Mutual labels:  cpu, verilog
FPGA NTP SERVER
A FPGA implementation of the NTP and NTS protocols
Stars: ✭ 27 (-90.07%)
Mutual labels:  fpga, verilog
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-84.19%)
Mutual labels:  fpga, verilog
KyogenRV
The Simple 5-staged pipeline RISC-V written in chisel3 for intel FPGA.
Stars: ✭ 37 (-86.4%)
Mutual labels:  fpga, risc-v
eddr3
mirror of https://git.elphel.com/Elphel/eddr3
Stars: ✭ 33 (-87.87%)
Mutual labels:  fpga, verilog
blarney
Haskell library for hardware description
Stars: ✭ 81 (-70.22%)
Mutual labels:  fpga, verilog
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
Stars: ✭ 51 (-81.25%)
Mutual labels:  fpga, verilog
1-60 of 989 similar projects