All Projects → xeda → Similar Projects or Alternatives

1058 Open source projects that are alternatives of or similar to xeda

Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+980%)
Mutual labels:  fpga, vhdl, eda, verilog, synthesis
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (+232%)
Mutual labels:  fpga, hardware, verilog, hdl
docker
Scripts to build and use docker images including GHDL
Stars: ✭ 27 (+8%)
Mutual labels:  hardware, vhdl, verilog, synthesis
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Stars: ✭ 466 (+1764%)
Mutual labels:  fpga, eda, verilog, synthesis
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (+368%)
Mutual labels:  fpga, verilog, synthesis, hdl
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+116%)
Mutual labels:  fpga, vhdl, verilog
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-20%)
Mutual labels:  vhdl, verilog, hdl
Nyuziprocessor
GPGPU microprocessor architecture
Stars: ✭ 1,351 (+5304%)
Mutual labels:  fpga, hardware, verilog
Connectal
Connectal is a framework for software-driven hardware development.
Stars: ✭ 117 (+368%)
Mutual labels:  fpga, hardware, verilog
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (+52%)
Mutual labels:  fpga, verilog, hdl
Open Register Design Tool
Tool to generate register RTL, models, and docs using SystemRDL or JSpec input
Stars: ✭ 126 (+404%)
Mutual labels:  fpga, eda, verilog
Openfpgaduino
All open source file and project for OpenFPGAduino project
Stars: ✭ 137 (+448%)
Mutual labels:  fpga, hardware, verilog
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (+156%)
Mutual labels:  fpga, vhdl, verilog
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Stars: ✭ 475 (+1800%)
Mutual labels:  fpga, hardware, verilog
symbolator
HDL symbol generator
Stars: ✭ 123 (+392%)
Mutual labels:  vhdl, verilog, hdl
vboard
Virtual development board for HDL design
Stars: ✭ 32 (+28%)
Mutual labels:  vhdl, verilog, hdl
Awesome Open Hardware Verification
A List of Free and Open Source Hardware Verification Tools and Frameworks
Stars: ✭ 103 (+312%)
Mutual labels:  hardware, vhdl, verilog
Neorv32
A small and customizable full-scale 32-bit RISC-V soft-core CPU and SoC written in platform-independent VHDL.
Stars: ✭ 106 (+324%)
Mutual labels:  fpga, hardware, vhdl
icebreaker-amaranth-examples
This repository contains iCEBreaker examples for Amaranth HDL.
Stars: ✭ 26 (+4%)
Mutual labels:  fpga, hardware, hdl
Ophidian
Ophidian's Mirror Repository on github. https://gitlab.com/eclufsc/eda/ophidian
Stars: ✭ 32 (+28%)
Mutual labels:  vhdl, eda, verilog
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+512%)
Mutual labels:  fpga, vhdl, verilog
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-40%)
Mutual labels:  fpga, vhdl, verilog
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (+480%)
Mutual labels:  fpga, vhdl, verilog
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (+72%)
Mutual labels:  fpga, vhdl, verilog
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (+244%)
Mutual labels:  fpga, verilog, hdl
Hal
HAL – The Hardware Analyzer
Stars: ✭ 298 (+1092%)
Mutual labels:  fpga, hardware, vhdl
Space Invaders Vhdl
Space Invaders game implemented with VHDL
Stars: ✭ 142 (+468%)
Mutual labels:  fpga, hardware, vhdl
Haddoc2
Caffe to VHDL
Stars: ✭ 57 (+128%)
Mutual labels:  fpga, hardware, vhdl
Platformio Vscode Ide
PlatformIO IDE for VSCode: The next generation integrated development environment for IoT
Stars: ✭ 676 (+2604%)
Mutual labels:  fpga, hardware, verilog
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (+44%)
Mutual labels:  fpga, vhdl, verilog
Kactus2dev
Kactus2 is a graphical EDA tool based on the IP-XACT standard.
Stars: ✭ 82 (+228%)
Mutual labels:  fpga, hardware, eda
yavhdl
Yet Another VHDL tool
Stars: ✭ 29 (+16%)
Mutual labels:  vhdl, eda, hdl
Rggen
Code generation tool for configuration and status registers
Stars: ✭ 54 (+116%)
Mutual labels:  fpga, eda, verilog
Verilog
Repository for basic (and not so basic) Verilog blocks with high re-use potential
Stars: ✭ 296 (+1084%)
Mutual labels:  fpga, hardware, verilog
Livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Stars: ✭ 110 (+340%)
Mutual labels:  fpga, verilog, synthesis
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-32%)
Mutual labels:  fpga, vhdl, verilog
fphdl
VHDL-2008 Support Library
Stars: ✭ 36 (+44%)
Mutual labels:  hardware, vhdl, synthesis
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+2684%)
Mutual labels:  fpga, vhdl, verilog
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+1200%)
Mutual labels:  fpga, vhdl, verilog
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (+48%)
Mutual labels:  fpga, verilog, hdl
Symbiflow Arch Defs
FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.
Stars: ✭ 137 (+448%)
Mutual labels:  fpga, verilog, synthesis
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (+24%)
Mutual labels:  fpga, vhdl, verilog
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (+8%)
Mutual labels:  fpga, vhdl, synthesis
pygears
HW Design: A Functional Approach
Stars: ✭ 122 (+388%)
Mutual labels:  fpga, hardware, hdl
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (+100%)
Mutual labels:  fpga, vhdl, verilog
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (+124%)
Mutual labels:  fpga, vhdl, synthesis
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
Stars: ✭ 51 (+104%)
Mutual labels:  fpga, verilog, hdl
spydrnet
A flexible framework for analyzing and transforming FPGA netlists. Official repository.
Stars: ✭ 49 (+96%)
Mutual labels:  fpga, hardware, eda
Cascade
A Just-In-Time Compiler for Verilog from VMware Research
Stars: ✭ 413 (+1552%)
Mutual labels:  fpga, hardware, verilog
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+3732%)
Mutual labels:  fpga, vhdl, verilog
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+4064%)
Mutual labels:  fpga, vhdl, verilog
Core jpeg
High throughput JPEG decoder in Verilog for FPGA
Stars: ✭ 64 (+156%)
Mutual labels:  fpga, verilog
Symbiflow Examples
Example designs showing different ways to use SymbiFlow toolchains.
Stars: ✭ 71 (+184%)
Mutual labels:  fpga, verilog
Fpga101 Workshop
FPGA 101 - Workshop materials
Stars: ✭ 54 (+116%)
Mutual labels:  fpga, verilog
Jt gng
CAPCOM arcade hardware accurately replicated on MiST and MiSTer FPGA platforms. It covers Ghosts'n Goblins, 1942, 1943, Commando, F1-Dream, GunSmoke, Tiger Road, Black Tiger, Bionic Commando, Higemaru, Street Fighter and Vulgus.
Stars: ✭ 65 (+160%)
Mutual labels:  fpga, verilog
Simon speck ciphers
Implementations of the Simon and Speck Block Ciphers
Stars: ✭ 74 (+196%)
Mutual labels:  fpga, vhdl
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (+208%)
Mutual labels:  fpga, verilog
Icestation 32
Compact FPGA game console
Stars: ✭ 93 (+272%)
Mutual labels:  fpga, verilog
Antikernel
The Antikernel operating system project
Stars: ✭ 75 (+200%)
Mutual labels:  fpga, verilog
Vgasim
A Video display simulator
Stars: ✭ 94 (+276%)
Mutual labels:  fpga, verilog
1-60 of 1058 similar projects