All Projects → vboard → Similar Projects or Alternatives

468 Open source projects that are alternatives of or similar to vboard

cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-37.5%)
Mutual labels:  vhdl, verilog, hdl
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (+18.75%)
Mutual labels:  verilog, hdl, verilator
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
Stars: ✭ 56 (+75%)
Mutual labels:  verilog, hdl, verilator
docker
Scripts to build and use docker images including GHDL
Stars: ✭ 27 (-15.62%)
Mutual labels:  vhdl, verilog, ghdl
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
Stars: ✭ 32 (+0%)
Mutual labels:  vhdl, verilog, ghdl
symbolator
HDL symbol generator
Stars: ✭ 123 (+284.38%)
Mutual labels:  vhdl, verilog, hdl
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-21.87%)
Mutual labels:  vhdl, verilog, hdl
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+3153.13%)
Mutual labels:  vhdl, verilog
cpu11
Revengineered ancient PDP-11 CPUs, originals and clones
Stars: ✭ 120 (+275%)
Mutual labels:  verilog, hdl
pcievhost
PCIe (1.0a to 2.0) Virtual host model for verilog
Stars: ✭ 22 (-31.25%)
Mutual labels:  verilog, cosim
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
Stars: ✭ 83 (+159.38%)
Mutual labels:  verilog, hdl
Cocotb
cocotb, a coroutine based cosimulation library for writing VHDL and Verilog testbenches in Python
Stars: ✭ 740 (+2212.5%)
Mutual labels:  vhdl, verilog
sv-tests
Test suite designed to check compliance with the SystemVerilog standard.
Stars: ✭ 148 (+362.5%)
Mutual labels:  verilog, hdl
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (+12.5%)
Mutual labels:  vhdl, verilog
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
Stars: ✭ 117 (+265.63%)
Mutual labels:  verilog, hdl
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-3.12%)
Mutual labels:  vhdl, verilog
Ophidian
Ophidian's Mirror Repository on github. https://gitlab.com/eclufsc/eda/ophidian
Stars: ✭ 32 (+0%)
Mutual labels:  vhdl, verilog
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (+915.63%)
Mutual labels:  vhdl, verilog
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (+168.75%)
Mutual labels:  verilog, hdl
yavhdl
Yet Another VHDL tool
Stars: ✭ 29 (-9.37%)
Mutual labels:  vhdl, hdl
dblclockfft
A configurable C++ generator of pipelined Verilog FFT cores
Stars: ✭ 147 (+359.38%)
Mutual labels:  verilog, verilator
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (+68.75%)
Mutual labels:  vhdl, verilog
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (+353.13%)
Mutual labels:  vhdl, verilog
dbgbus
A collection of debugging busses developed and presented at zipcpu.com
Stars: ✭ 24 (-25%)
Mutual labels:  verilog, verilator
Awesome Hdl
Hardware Description Languages
Stars: ✭ 385 (+1103.13%)
Mutual labels:  vhdl, verilog
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (+34.38%)
Mutual labels:  vhdl, verilog
Spinalhdl
Scala based HDL
Stars: ✭ 696 (+2075%)
Mutual labels:  vhdl, verilog
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (+15.63%)
Mutual labels:  verilog, hdl
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (+743.75%)
Mutual labels:  vhdl, verilog
Microwatt
A tiny Open POWER ISA softcore written in VHDL 2008
Stars: ✭ 383 (+1096.88%)
Mutual labels:  vhdl, verilog
Awesome Open Hardware Verification
A List of Free and Open Source Hardware Verification Tools and Frameworks
Stars: ✭ 103 (+221.88%)
Mutual labels:  vhdl, verilog
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
Stars: ✭ 46 (+43.75%)
Mutual labels:  vhdl, verilog
rules verilator
Bazel build rules for Verilator
Stars: ✭ 14 (-56.25%)
Mutual labels:  verilog, verilator
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-53.12%)
Mutual labels:  vhdl, verilog
svut
SVUT is a simple framework to create Verilog/SystemVerilog unit tests. Just focus on your tests!
Stars: ✭ 48 (+50%)
Mutual labels:  verilog, verilator
dpll
A collection of phase locked loop (PLL) related projects
Stars: ✭ 55 (+71.88%)
Mutual labels:  verilog, verilator
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
Stars: ✭ 51 (+59.38%)
Mutual labels:  verilog, hdl
wbi2c
Wishbone controlled I2C controllers
Stars: ✭ 25 (-21.87%)
Mutual labels:  verilog, verilator
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
Stars: ✭ 59 (+84.38%)
Mutual labels:  vhdl, verilog
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (+100%)
Mutual labels:  vhdl, verilog
Hdl checker
Repurposing existing HDL tools to help writing better code
Stars: ✭ 103 (+221.88%)
Mutual labels:  vhdl, verilog
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (+56.25%)
Mutual labels:  vhdl, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-46.87%)
Mutual labels:  vhdl, verilog
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (+75%)
Mutual labels:  vhdl, ghdl
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+2893.75%)
Mutual labels:  vhdl, verilog
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (+378.13%)
Mutual labels:  vhdl, verilog
Degate
Open source software for chip reverse engineering.
Stars: ✭ 156 (+387.5%)
Mutual labels:  vhdl, verilog
captouch
👇 Add capacitive touch buttons to any FPGA!
Stars: ✭ 96 (+200%)
Mutual labels:  vhdl
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
Stars: ✭ 51 (+59.38%)
Mutual labels:  verilog
yafpgatetris
Yet Another Tetris on FPGA Implementation
Stars: ✭ 29 (-9.37%)
Mutual labels:  verilog
verilog-sid-mos6581
MOS6581 SID chip emulator in SystemVerilog
Stars: ✭ 22 (-31.25%)
Mutual labels:  verilog
VHDLFormatter
VHDL formatter web online written in typescript
Stars: ✭ 44 (+37.5%)
Mutual labels:  vhdl
FPGA ThreeLevelStorage
【原创,已被编入官方教材】Three-level storage subsystem(SD+DDR2 SDRAM+Cache), based on Nexys4 FPGA board. 同济大学计算机系统结构课程设计,FPGA三级存储子系统。
Stars: ✭ 86 (+168.75%)
Mutual labels:  verilog
Learning
@elahe-dastan / @1995parham training and testing repository 📚 🤓
Stars: ✭ 53 (+65.63%)
Mutual labels:  vhdl
fpga torture
🔥 Technology-agnostic FPGA stress-test: maximum logic utilization and high dynamic power consumption.
Stars: ✭ 23 (-28.12%)
Mutual labels:  vhdl
MSXPi
Interface for MSX to Connect and use Raspberry Pi resources
Stars: ✭ 61 (+90.63%)
Mutual labels:  vhdl
MobileNet-in-FPGA
Generator of verilog description for FPGA MobileNet implementation
Stars: ✭ 107 (+234.38%)
Mutual labels:  verilog
migen-axi
AXI support for Migen/MiSoC
Stars: ✭ 21 (-34.37%)
Mutual labels:  hdl
PyChip-py-hcl
A Hardware Construct Language
Stars: ✭ 36 (+12.5%)
Mutual labels:  verilog
Atalanta
Atalanta is a modified ATPG (Automatic Test Pattern Generation) tool and fault simulator, orginally from VirginiaTech University.
Stars: ✭ 49 (+53.13%)
Mutual labels:  verilog
1-60 of 468 similar projects