All Categories → Hardware → verilog

Top 342 verilog open source projects

Open Register Design Tool
Tool to generate register RTL, models, and docs using SystemRDL or JSpec input
Displayport verilog
A Verilog implementation of DisplayPort protocol for FPGAs
✭ 125
verilog
Fpganes
NES in Verilog
✭ 119
verilog
Vscode Verilog Hdl Support
Verilog HDL/SystemVerilog/Bluespec SystemVerilog support for VS Code
Svls
SystemVerilog language server
Surelog
SystemVerilog 2017 Pre-processor, Parser, Elaborator, UHDM Compiler. Provides IEEE Design/TB C/C++ VPI and Python AST API.
Connectal
Connectal is a framework for software-driven hardware development.
Vscale
Verilog version of Z-scale (deprecated)
✭ 116
verilog
Vm80a
i8080 precise replica in Verilog, based on reverse engineering of real die
Orpsoc Cores
Core description files for FuseSoC
✭ 112
verilog
Raven Picorv32
Silicon-validated SoC implementation of the PicoSoc/PicoRV32
✭ 110
verilog
Sv Tests
Test suite designed to check compliance with the SystemVerilog standard.
✭ 108
verilogrtl
Livehd
Live Hardware Development (LiveHD), a productive infrastructure for Synthesis and Simulation
Autofpga
A utility for Composing FPGA designs from Peripherals
✭ 108
verilogfpga
Single instruction cycle openmips
通过学习《自己动手写CPU》,将书中实现的兼容MIPS32指令集架构的处理器——OpenMIPS(五级流水线结构),简化成单指令周期实现的处理器
✭ 108
verilog
Replace
RePlAce global placement tool
✭ 109
verilog
A2o
✭ 107
verilog
Hardware Cnn
A convolutional neural network implemented in hardware (verilog)
✭ 107
verilog
Dreamcasthdmi
Dreamcast HDMI
✭ 106
verilog
Hdl checker
Repurposing existing HDL tools to help writing better code
Archexp
浙江大学计算机体系结构课程实验
✭ 104
verilog
Fft Dit Fpga
Verilog module for calculation of FFT.
✭ 104
verilog
Icegdrom
An FPGA based GDROM emulator for the Sega Dreamcast
✭ 103
verilog
Awesome Open Hardware Verification
A List of Free and Open Source Hardware Verification Tools and Frameworks
Svlint
SystemVerilog linter
Mriscv
A 32-bit Microcontroller featuring a RISC-V core
✭ 101
verilog
Spatial Lang
Spatial: "Specify Parameterized Accelerators Through Inordinately Abstract Language"
✭ 99
verilog
Panologic G2
Pano Logic G2 Reverse Engineering Project
✭ 99
verilog
Nyuziprocessor
GPGPU microprocessor architecture
Kamikaze
Light-weight RISC-V RV32IMC microcontroller core.
✭ 94
verilog
Vgasim
A Video display simulator
Mips32 Cpu
奋战一学期,造台计算机(编译出的bit文件在release中,可以直接食用)
✭ 94
verilog
Radioberry 2.x
Ham Radio hat for Raspberry PI
✭ 92
verilog
Icestation 32
Compact FPGA game console
Cores Swervolf
FuseSoC-based SoC for SweRV EH1
Fpga Cnn
FPGA implementation of Cellular Neural Network (CNN)
✭ 91
verilog
Lpc sniffer tpm
A low pin count sniffer for ICEStick - targeting TPM chips
✭ 91
verilog
Ivtest
Regression test suite for Icarus Verilog.
✭ 90
verilog
Oldland Cpu
Oldland CPU - a 32-bit RISC FPGA CPU including RTL + tools
✭ 90
verilog
Hoodlum
A nicer HDL.
Wujian100 open
IC design and development should be faster,simpler and more reliable
✭ 1,252
verilog
Xilinx Serial Miner
Bitcoin miner for Xilinx FPGAs
✭ 83
verilog
Vsdflow
VSDFLOW is an automated solution to programmers, hobbyists and small scale semiconductor technology entrepreneurs who can craft their ideas in RTL language, and convert the design to hardware using VSD (RTL-to-GDS) FLOW. VSDFLOW is completely build using OPHW tools, where the user gives input RTL in verilog. From here on the VSDFLOW takes control, RTL is synthesized (using Yosys). The synthesized netlist is given to PNR tool (Qflow) and finally Sign-off is done with STA tool (using Opentimer). The output of the flow is GDSII layout and performance & area metrics of your design. VSDFLOW also provide hooks at all stages for users working at different levels of design flow. It is tested for 30k instance count design like ARM Cortex-M0, and can be further tested for multi-million instance count using hierarchical or glue logic.
✭ 82
verilog
Ponylink
A single-wire bi-directional chip-to-chip interface for FPGAs
✭ 80
verilog
Cpu
A very primitive but hopefully self-educational CPU in Verilog
✭ 80
verilog
Homotopy
Homotopy theory in Coq.
✭ 79
verilog
C65gs
FPGA-based C64 Accelerator / C65 like computer
✭ 79
verilog
Toooba
RISC-V Core; superscalar, out-of-order, multi-core capable; based on RISCY-OOO from MIT
✭ 79
verilog
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Antikernel
The Antikernel operating system project
Genesis mister
Sega Genesis for MiSTer
✭ 75
verilog
Computerarchitecturelab
This repository is used to release the Labs of Computer Architecture Course from USTC
✭ 75
verilog
Cpus Caddr
FPGA based MIT CADR lisp machine - rewritten in modern verilog - boots and runs
✭ 72
verilog
Symbiflow Examples
Example designs showing different ways to use SymbiFlow toolchains.
Jt gng
CAPCOM arcade hardware accurately replicated on MiST and MiSTer FPGA platforms. It covers Ghosts'n Goblins, 1942, 1943, Commando, F1-Dream, GunSmoke, Tiger Road, Black Tiger, Bionic Commando, Higemaru, Street Fighter and Vulgus.
J1sc
A reimplementation of a tiny stack CPU
Core jpeg
High throughput JPEG decoder in Verilog for FPGA
Ao68000
The OpenCores ao68000 IP Core is a Motorola MC68000 binary compatible processor.
✭ 60
verilog
61-120 of 342 verilog projects