All Projects → Spinalhdl → Similar Projects or Alternatives

697 Open source projects that are alternatives of or similar to Spinalhdl

hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
Stars: ✭ 145 (-79.17%)
Mutual labels:  fpga, vhdl, rtl, verilog
SpinalCrypto
SpinalHDL - Cryptography libraries
Stars: ✭ 36 (-94.83%)
Mutual labels:  fpga, vhdl, rtl, verilog
SpinalDev
Docker Development Environment for SpinalHDL
Stars: ✭ 17 (-97.56%)
Mutual labels:  fpga, vhdl, rtl, verilog
Fake-SDcard
Imitate SDcard using FPGAs.
Stars: ✭ 26 (-96.26%)
Mutual labels:  fpga, rtl, verilog
J1sc
A reimplementation of a tiny stack CPU
Stars: ✭ 64 (-90.8%)
Mutual labels:  verilog, fpga, vhdl
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Stars: ✭ 958 (+37.64%)
Mutual labels:  verilog, fpga, vhdl
Verilog
Repository for basic (and not so basic) Verilog blocks with high re-use potential
Stars: ✭ 296 (-57.47%)
Mutual labels:  verilog, fpga, rtl
Edalize
An abstraction library for interfacing EDA tools
Stars: ✭ 270 (-61.21%)
Mutual labels:  verilog, fpga, vhdl
vga-clock
Show the time on a VGA monitor. Submitted for the Google MPW1 ASIC shuttle.
Stars: ✭ 48 (-93.1%)
Mutual labels:  fpga, rtl, verilog
getting-started
List of ideas for getting started with TimVideos projects
Stars: ✭ 50 (-92.82%)
Mutual labels:  fpga, vhdl, verilog
blarney
Haskell library for hardware description
Stars: ✭ 81 (-88.36%)
Mutual labels:  fpga, rtl, verilog
Darkriscv
opensouce RISC-V cpu core implemented in Verilog from scratch in one night!
Stars: ✭ 1,062 (+52.59%)
Mutual labels:  verilog, fpga, rtl
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Stars: ✭ 1,041 (+49.57%)
Mutual labels:  verilog, fpga, vhdl
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
Stars: ✭ 15 (-97.84%)
Mutual labels:  fpga, vhdl, verilog
Tinytpu
Implementation of a Tensor Processing Unit for embedded systems and the IoT.
Stars: ✭ 153 (-78.02%)
Mutual labels:  verilog, fpga, vhdl
FPGA-USB-Device
FPGA-based USB-device controller to implement USB-CDC, USB-HID, etc.
Stars: ✭ 29 (-95.83%)
Mutual labels:  fpga, rtl, verilog
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Stars: ✭ 31 (-95.55%)
Mutual labels:  verilog, fpga, vhdl
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
Stars: ✭ 325 (-53.3%)
Mutual labels:  fpga, vhdl, verilog
Logic
CMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
Stars: ✭ 149 (-78.59%)
Mutual labels:  verilog, fpga, rtl
Fpga readings
Recipe for FPGA cooking
Stars: ✭ 164 (-76.44%)
Mutual labels:  verilog, fpga, rtl
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Stars: ✭ 43 (-93.82%)
Mutual labels:  fpga, vhdl, verilog
Rggen
Code generation tool for configuration and status registers
Stars: ✭ 54 (-92.24%)
Mutual labels:  verilog, fpga, rtl
Cores
Various HDL (Verilog) IP Cores
Stars: ✭ 271 (-61.06%)
Mutual labels:  verilog, fpga, rtl
Ustc Rvsoc
FPGA-based RISC-V CPU+SoC.
Stars: ✭ 77 (-88.94%)
Mutual labels:  verilog, fpga, rtl
xeda
Cross EDA Abstraction and Automation
Stars: ✭ 25 (-96.41%)
Mutual labels:  fpga, vhdl, verilog
cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
Stars: ✭ 157 (-77.44%)
Mutual labels:  fpga, rtl, verilog
virtio
Virtio implementation in SystemVerilog
Stars: ✭ 38 (-94.54%)
Mutual labels:  fpga, rtl, verilog
fpga-docker
Tools for running FPGA vendor toolchains with Docker
Stars: ✭ 54 (-92.24%)
Mutual labels:  fpga, vhdl, verilog
sphinxcontrib-hdl-diagrams
Sphinx Extension which generates various types of diagrams from Verilog code.
Stars: ✭ 37 (-94.68%)
Mutual labels:  fpga, rtl, verilog
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
Stars: ✭ 52 (-92.53%)
Mutual labels:  fpga, rtl, verilog
JSON-for-VHDL
A JSON library implemented in VHDL.
Stars: ✭ 56 (-91.95%)
Mutual labels:  fpga, vhdl
usbcorev
A full-speed device-side USB peripheral core written in Verilog.
Stars: ✭ 135 (-80.6%)
Mutual labels:  fpga, verilog
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
Stars: ✭ 20 (-97.13%)
Mutual labels:  vhdl, verilog
PoC-Examples
This repository contains synthesizable examples which use the PoC-Library.
Stars: ✭ 27 (-96.12%)
Mutual labels:  fpga, vhdl
FPGA NTP SERVER
A FPGA implementation of the NTP and NTS protocols
Stars: ✭ 27 (-96.12%)
Mutual labels:  fpga, verilog
dblclockfft
A configurable C++ generator of pipelined Verilog FFT cores
Stars: ✭ 147 (-78.88%)
Mutual labels:  fpga, verilog
QNICE-FPGA
QNICE-FPGA is a 16-bit computer system for recreational programming built as a fully-fledged System-on-a-Chip in portable VHDL.
Stars: ✭ 51 (-92.67%)
Mutual labels:  fpga, vhdl
wbi2c
Wishbone controlled I2C controllers
Stars: ✭ 25 (-96.41%)
Mutual labels:  fpga, verilog
Cascade
A Just-In-Time Compiler for Verilog from VMware Research
Stars: ✭ 413 (-40.66%)
Mutual labels:  verilog, fpga
Cores Swerv
SweRV EH1 core
Stars: ✭ 406 (-41.67%)
Mutual labels:  fpga, rtl
eddr3
mirror of https://git.elphel.com/Elphel/eddr3
Stars: ✭ 33 (-95.26%)
Mutual labels:  fpga, verilog
OpenLane
OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen, Fault and custom methodology scripts for design exploration and optimization.
Stars: ✭ 548 (-21.26%)
Mutual labels:  rtl, verilog
shapool-core
FPGA core for SHA256d mining targeting Lattice iCE40 devices.
Stars: ✭ 19 (-97.27%)
Mutual labels:  fpga, verilog
Scr1
SCR1 is a high-quality open-source RISC-V MCU core in Verilog
Stars: ✭ 393 (-43.53%)
Mutual labels:  verilog, rtl
1bitSDR
Minimal SDR with Lattice MachXO2 FPGA. And a port to Cyclone3 by Steven Groom
Stars: ✭ 53 (-92.39%)
Mutual labels:  fpga, verilog
Deep-DarkFantasy
Global Dark Mode for ALL apps on ANY platforms.
Stars: ✭ 16 (-97.7%)
Mutual labels:  fpga, verilog
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Stars: ✭ 475 (-31.75%)
Mutual labels:  verilog, fpga
rapcores
Robotic Application Processor
Stars: ✭ 14 (-97.99%)
Mutual labels:  fpga, verilog
Awesome Hdl
Hardware Description Languages
Stars: ✭ 385 (-44.68%)
Mutual labels:  verilog, vhdl
fpga puf
🔑 Technology-agnostic Physical Unclonable Function (PUF) hardware module for any FPGA.
Stars: ✭ 44 (-93.68%)
Mutual labels:  fpga, vhdl
VGChips
Video Game custom chips reverse-engineered from silicon
Stars: ✭ 86 (-87.64%)
Mutual labels:  fpga, verilog
Microwatt
A tiny Open POWER ISA softcore written in VHDL 2008
Stars: ✭ 383 (-44.97%)
Mutual labels:  verilog, vhdl
symbolator
HDL symbol generator
Stars: ✭ 123 (-82.33%)
Mutual labels:  vhdl, verilog
Apio
🌱 Open source ecosystem for open FPGA boards
Stars: ✭ 366 (-47.41%)
Mutual labels:  verilog, fpga
dpll
A collection of phase locked loop (PLL) related projects
Stars: ✭ 55 (-92.1%)
Mutual labels:  fpga, verilog
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Stars: ✭ 5,539 (+695.83%)
Mutual labels:  verilog, fpga
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Stars: ✭ 466 (-33.05%)
Mutual labels:  verilog, fpga
ofdm
Chisel Things for OFDM
Stars: ✭ 23 (-96.7%)
Mutual labels:  rtl, verilog
simple-riscv
A simple three-stage RISC-V CPU
Stars: ✭ 14 (-97.99%)
Mutual labels:  fpga, vhdl
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
Stars: ✭ 51 (-92.67%)
Mutual labels:  fpga, verilog
1-60 of 697 similar projects