Top 317 fpga open source projects

Simon speck ciphers
Implementations of the Simon and Speck Block Ciphers
Symbiflow Examples
Example designs showing different ways to use SymbiFlow toolchains.
Cva6
The CORE-V CVA6 is an Application class 6-stage RISC-V CPU capable of booting Linux
Jt gng
CAPCOM arcade hardware accurately replicated on MiST and MiSTer FPGA platforms. It covers Ghosts'n Goblins, 1942, 1943, Commando, F1-Dream, GunSmoke, Tiger Road, Black Tiger, Bionic Commando, Higemaru, Street Fighter and Vulgus.
J1sc
A reimplementation of a tiny stack CPU
Core jpeg
High throughput JPEG decoder in Verilog for FPGA
Sega System For Fpga
FPGA Sega in Verilog, for Xilinx Virtex, circa 2002. Has an emulator thrown in, to simplify FPGA debugging.
Halide Hls
HLS branch of Halide
Fpga101 Workshop
FPGA 101 - Workshop materials
Rggen
Code generation tool for configuration and status registers
Electron
A mixed signal netlist language (pre-alpha)
Darkriscv
opensouce RISC-V cpu core implemented in Verilog from scratch in one night!
Spi Fpga
SPI master and slave for FPGA written in VHDL
Wbscope
A wishbone controlled scope for FPGA's
Ecp5 Pcie
Mirror of https://codeberg.org/ECP5-PCIe/ECP5-PCIe
✭ 49
pythonfpga
Vexriscv
A FPGA friendly 32 bit RISC-V CPU implementation
Fpga Fft
A highly optimized streaming FFT core based on Bailey's 4-step large FFT algorithm
Hrm Cpu
Human Resource Machine - CPU Design #HRM
Rsyocto
🤖 SoCFPGA: Open Source embedded Linux developed for Intel (ALTERA) SoC-FPGAs (Cyclone V & Arria 10)
Mips Cpu
A MIPS CPU implemented in Verilog
Pano z80
Retro Z80 computer for the Pano Logic Thin Client
✭ 37
cfpga
Higan Verilog
This is a higan/Verilator co-simulation example/framework
Image Processing
Image Processing Toolbox in Verilog using Basys3 FPGA
Clash Compiler
Haskell to VHDL/Verilog/SystemVerilog compiler
Icestudio
❄️ Visual editor for open FPGA boards
Iroha
Intermediate Representation Of Hardware Abstraction (LLVM-ish for HLS)
Zbasic
A bare bones, basic, ZipCPU system designed for both testing and quick integration into new systems
Lxp32 Cpu
A lightweight, open source and FPGA-friendly 32-bit CPU core based on an original instruction set
Autooffload.jl
Automatic GPU, TPU, FPGA, Xeon Phi, Multithreaded, Distributed, etc. offloading for scientific machine learning (SciML) and differential equations
Aes
AES-128 hardware implementation
Tenyr
Simple, orthogonal 32-bit computer architecture and environment
Audioxtreamer
ASIO driver, Usb Driver, FX2LP Firmware, VHDL Fpga, Schematics & PCB Layout for the AudioXtreamer, a USB 2.0 32ch Audio/Midi interface for retrofitting into digital mixers/interfaces.
Pipecnn
An OpenCL-based FPGA Accelerator for Convolutional Neural Networks
Hdl
HDL libraries and projects
Spinalhdl
Scala based HDL
Platformio Vscode Ide
PlatformIO IDE for VSCode: The next generation integrated development environment for IoT
Paddle Lite
Multi-platform high performance deep learning inference engine (『飞桨』多平台高性能深度学习预测引擎)
Zipcpu
A small, light weight, RISC CPU soft core
Fusesoc
Package manager and build abstraction tool for FPGA/ASIC development
Platformio Core
PlatformIO is a professional collaborative platform for embedded development 👽 A place where Developers and Teams have true Freedom! No more vendor lock-in!
Uhd
The USRP™ Hardware Driver Repository
Hdmi
Send video/audio over HDMI on an FPGA
John
John the Ripper jumbo - advanced offline password cracker, which supports hundreds of hash and cipher types, and runs on many operating systems, CPUs, GPUs, and even some FPGAs
Nmigen
A refreshed Python toolbox for building complex digital hardware
✭ 509
pythonfpga
Embedded Neural Network
collection of works aiming at reducing model sizes or the ASIC/FPGA accelerator for machine learning
Prjxray
Documenting the Xilinx 7-series bit-stream format.
Tornadovm
TornadoVM: A practical and efficient heterogeneous programming framework for managed languages
Platformio Atom Ide
PlatformIO IDE for Atom: The next generation integrated development environment for IoT
Riscv Cores List
RISC-V Cores, SoC platforms and SoCs
Vtr Verilog To Routing
Verilog to Routing -- Open Source CAD Flow for FPGA Research
Hls4ml
Machine learning in FPGAs using HLS
Open Fpga Verilog Tutorial
Learn how to design digital systems and synthesize them into an FPGA using only opensource tools
Silice
Silice is an open source language that simplifies prototyping and writing algorithms on FPGA architectures.
Vunit
VUnit is a unit testing framework for VHDL/SystemVerilog
Dsp Theory
Theory of digital signal processing (DSP): signals, filtration (IIR, FIR, CIC, MAF), transforms (FFT, DFT, Hilbert, Z-transform) etc.
Nontrivial Mips
NonTrivial-MIPS is a synthesizable superscalar MIPS processor with branch prediction and FPU support, and it is capable of booting linux.
✭ 417
fpgacpumips
Firesim
FireSim: Easy-to-use, Scalable, FPGA-accelerated Cycle-accurate Hardware Simulation in the Cloud
Cascade
A Just-In-Time Compiler for Verilog from VMware Research
61-120 of 317 fpga projects