All Categories → Hardware → verilog

Top 342 verilog open source projects

Verilog-Gadget
🔧 Verilog plugin for Sublime Text 2/3. It helps to generate a simple testbench, instantiate a module, insert a user-header, repeat codes with formatted incremental/decremental numbers, etc.
ARM9-compatible-soft-CPU-core
This ARMv4-compatible CPU core is written in synthesiable verilog.It could launch uCLinux and Linux in MODELSIM. It has high Dhrystone benchmark value: 1.2 DMIPS/MHz. It could be utilized in your FPGA design as one submodule, if you master the interface of this .v file. This IP core is very compact. It is one .v file and has only less 1800 lines.
Hard-JPEG-LS
FPGA-based JPEG-LS image compressor.
MobileNet-in-FPGA
Generator of verilog description for FPGA MobileNet implementation
async fifo
A dual clock asynchronous FIFO written in verilog, tested with Icarus Verilog
LVDS-7-to-1-Serializer
An Verilog implementation of 7-to-1 LVDS Serializer. Which can be used for comunicating FPGAs with LVDS TFT Screens.
srv32
Simple 3-stage pipeline RISC-V processor
Solutions-to-HDLbits-Verilog-sets
Here are my solutions to HDLbits Verilog problem sets (HDLbits: https://hdlbits.01xz.net/wiki/Main_Page).
spu32
Small Processing Unit 32: A compact RV32I CPU written in Verilog
hdl-tools
Facilitates building open source tools for working with hardware description languages (HDLs)
PyChip-py-hcl
A Hardware Construct Language
OpenROAD-flow-scripts
OpenROAD's scripts implementing an RTL-to-GDS Flow. Documentation at https://openroad-flow-scripts.readthedocs.io/en/latest/
gateware-ts
Hardware definition library and environment for designing and building digital hardware for FPGAs, using only open source tools
cnn open
A hardware implementation of CNN, written by Verilog and synthesized on FPGA
platform-lattice ice40
Lattice iCE40: development platform for PlatformIO
drec-fpga-intro
Materials for "Introduction to FPGA and Verilog" at MIPT DREC
verilog-vcd-parser
A parser for Value Change Dump (VCD) files as specified in the IEEE System Verilog 1800-2012 standard.
ics-adpcm
Programmable multichannel ADPCM decoder for FPGA
yahdl
A programming language for FPGAs.
FPGA ThreeLevelStorage
【原创,已被编入官方教材】Three-level storage subsystem(SD+DDR2 SDRAM+Cache), based on Nexys4 FPGA board. 同济大学计算机系统结构课程设计,FPGA三级存储子系统。
Atalanta
Atalanta is a modified ATPG (Automatic Test Pattern Generation) tool and fault simulator, orginally from VirginiaTech University.
vscode-terosHDL
VHDL and Verilog/SV IDE: state machine viewer, linter, documentation, snippets... and more!
TinyGarble
TinyGarble: Logic Synthesis and Sequential Descriptions for Yao's Garbled Circuits
vga-clock
Show the time on a VGA monitor. Submitted for the Google MPW1 ASIC shuttle.
tree-core-ide
The next generation integrated development environment for processor design and verification. It has multi-hardware language support, open source IP management and easy-to-use rtl simulation toolset.
kianRiscV
KianRISC-V! No RISC-V, no fun! RISC-V CPU with strong design rules and unittested! CPU you can trust! kianv rv32im risc-v a hdmi soc with harris computer architecture in verilog: multicycle, singlecycle and 5-stage pipelining Processor. Multicycle Soc with firmware that runs raytracer, mandelbrot, 3d hdmi gfx, dma controller, etc.....
picorv32 Xilinx
A picorv32-riscv Soc with DMAC and Ethernet controller & lwip & Kirtex7@333MHz
my hdmi device
New clean hdmi implementation for ulx3s, icestick, icoboard, arty7, colorlight i5 and blackicemx! With tmds encoding hacked down from dvi standard. Supports DDR and SRD tranfser!
SpinalDev
Docker Development Environment for SpinalHDL
fpga-docker
Tools for running FPGA vendor toolchains with Docker
dbgbus
A collection of debugging busses developed and presented at zipcpu.com
COExperiment Repo
支持 45 条 MIPS 指令的单周期处理器 -- 计算机组成原理实验 NUAA Spring 2017
301-342 of 342 verilog projects