All Categories → Hardware → verilog

Top 342 verilog open source projects

Verilog Pcie
Verilog PCI express components
✭ 252
verilog
sv-tests
Test suite designed to check compliance with the SystemVerilog standard.
blarney
Haskell library for hardware description
32-Verilog-Mini-Projects
Implementing 32 Verilog Mini Projects. 32 bit adder, Array Multiplier, Barrel Shifter, Binary Divider 16 by 8, Booth Multiplication, CRC Coding, Carry Select and Carry Look Ahead Adder, Carry Skip and Carry Save Adder, Complex Multiplier, Dice Game, FIFO, Fixed Point Adder and Subtractor, Fixed Point Multiplier and Divider, Floating Point IEEE 7…
intfftk
Fully pipelined Integer Scaled / Unscaled Radix-2 Forward/Inverse Fast Fourier Transform (FFT) IP-core for newest Xilinx FPGAs (Source language - VHDL / Verilog). GNU GPL 3.0.
Speech256
An FPGA implementation of a classic 80ies speech synthesizer. Done for the Retro Challenge 2017/10.
super-miyamoto-sprint
Homebrew game for homebrew FPGA game console
VGChips
Video Game custom chips reverse-engineered from silicon
dpll
A collection of phase locked loop (PLL) related projects
verilogAST-cpp
C++17 implementation of an AST for Verilog code generation
1bitSDR
Minimal SDR with Lattice MachXO2 FPGA. And a port to Cyclone3 by Steven Groom
hwt
VHDL/Verilog/SystemC code generator, simulator API written in python/c++
sincos
Efficient implementations of the transcendental functions
shapool-core
FPGA core for SHA256d mining targeting Lattice iCE40 devices.
math
Useful m-scripts for DSP (CIC, FIR, FFT, Fast convolution, Partial Filters etc.)
OpenLane
OpenLane is an automated RTL to GDSII flow based on several components including OpenROAD, Yosys, Magic, Netgen, Fault and custom methodology scripts for design exploration and optimization.
Icarus Verilog
This repo contains code snippets written in verilog as part of course Computer Architecture of my university curriculum
dblclockfft
A configurable C++ generator of pipelined Verilog FFT cores
ProjectOberon2013
Project Oberon (New Edition 2013) Unofficial Mirror
tree-sitter-verilog
Verilog grammar for tree-sitter
cocotb-bus
Pre-packaged testbenching tools and reusable bus interfaces for cocotb
usbcorev
A full-speed device-side USB peripheral core written in Verilog.
FPGA NTP SERVER
A FPGA implementation of the NTP and NTS protocols
symbolator
HDL symbol generator
R8051
8051 soft CPU core. 700-lines statements for 111 instructions . Fully synthesizable Verilog-2001 core.
verilog-coding-style
Verilog (SystemVerilog) coding style
FpOC
FPGA-based Field Oriented Control (FOC) for driving BLDC/PMSM motor.
karuta
Karuta HLS Compiler: High level synthesis from prototype based object oriented script language to RTL (Verilog) aiming to be useful for FPGA development.
rules verilator
Bazel build rules for Verilator
verismith
Verilog Fuzzer to test the major simulators and sythesisers by generating random, valid Verilog.
cpu11
Revengineered ancient PDP-11 CPUs, originals and clones
CSCvon8
A crazy small 8-bit CPU built with only seventeen 7400-series chips.
INT FP MAC
INT8 & FP16 multiplier accumulator (MAC) design with UVM verification completed.
Verilog-Practice
HDLBits website practices & solutions
ZYNQ-NVDLA
NVDLA (An Opensource DL Accelerator Framework) implementation on FPGA.
EDSAC
FPGA Verilog implementation of 1949 EDSAC Computer with animated tape reader, panel, teleprinter and CRT scope
avr
Reads a state transition system and performs property checking
MIPS-pipeline-processor
A pipelined implementation of the MIPS processor featuring hazard detection as well as forwarding
DFFRAM
Standard Cell Library based Memory Compiler using FF/Latch cells
formal hw verification
Trying to verify Verilog/VHDL designs with formal methods and tools
FPGA RealTime and Static Sobel Edge Detection
Pipelined implementation of Sobel Edge Detection on OV7670 camera and on still images
vim-hdl
Vim plugin to aid VHDL development (for LSP, see https://github.com/suoto/hdl_checker)
vcdvcd
Python Verilog value change dump (VCD) parser library + the nifty vcdcat VCD command line pretty printer.
✭ 34
verilogvcd
verifla
Fork of OpenVeriFla - FPGA debugging logic analyzer to use with your designs - examples (so far) for ice40/IceStorm
vericert
A formally verified high-level synthesis tool based on CompCert and written in Coq.
241-300 of 342 verilog projects